ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece.

Size: px
Start display at page:

Download "ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece."

Transcription

1 ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES Edited by DIMITRIS GIZOPOULOS University of Piraeus, Greece 4y Springer

2 Foreword xiii by Vishwani D. Agrawal Preface xvii by Dimitris Gizopoulos Contributing Authors xxiii Dedication xxv Chapter 1 Defect-Oriented Testing 1 by Robert C. Aitken 1.1 History of Defect-Oriented Testing Classic Defect Mechanisms Shorts Opens Parametric Changes Defect Mechanisms in Advanced Technologies i Copper-related Defects Optical Defects Design-related Defects Defects and Faults Uses of Fault Models Single Stuck-at Faults Bridging Faults Open Fault Models Timing-related or Delay Faults IDDQ Models 27

3 vi 1.5 Defect-Oriented Test Types Logic Tests Current-based Tests Delay Test Very Low Voltage Stress Testing Experimental Results Fault Coverage, Scan vs. Functional Effectiveness of I DDQ, Scan, At-speed Tests Statistical Post Processing Future Trends and Conclusions 39 Acknowledgments 40 References 40 Chapter 2 Failure Mechanisms and Testing in Nanometer Technologies 43 by Jaume Segura, Charles Hawkins and Jerry Soden 2.1 Scaling CMOS Technology Device Scaling Interconnect Scaling Parameter Variations Noise Failure Modes in Nanometer Technologies Bridge Defects Open Circuit Defects Parametric Failures Test Methods for Nanometer ICs Impact of Technology Scaling on Testing Dealing with Background Current Increase Noise-tolerant Techniques Impact of Variation on Delay Conclusion 73 References 73 Chapter 3 Silicon Debug 77 by Doug Josephson and Bob Gottlieb 3.1 Introduction Silicon Debug History Silicon Debug Process Post-silicon Validation 80

4 Advances in Electronic Testing: Challenges and Methodologies vii 3.4 Debug Flow Step 1: Control the Failure Step 2: Isolate the Failing Circuit Step 3: Root Cause the Failure Step 4: Try to Expand the Problem Circuit Failures Speedpaths Mintime Races Charge Sharing Interconnect Noise Leakage Manufacturability A Case Study in Silicon Debug Future Challenges for Silicon Debug Conclusion 106 Acknowledgements 107 References 107 Chapter 4 Delay Testing 109 by Adam Cron 4.1 Introduction Why Delay Testing Why Now Delay Test Basics Transition Delay Basics Path Delay Basics Test Application Scan Architectures Last-Shift-Launch System-Clock-Launch Hybrid Launch BIST and Delay Testing Philosophy and Delay Test Application Delay Test Details Clock Domain Issues I/O Issues Vector Generation Last-Shift-Launch System-Clock-Launch Fault Model Tweaks Selecting Faults 127

5 7.7.2 Requirements for SOC At-speed Test Functional Tests for At-speed Testing Scan Design and Scan Control Clock Control for At-speed Testing Handling Violating Paths Test Control Through I/Os Pattern Generation Techniques Design for Memory and Logic BIST BIST Overview Design Techniques for Memory BIST Design Techniques for Logic BIST Functional BIST SOC BIST Architecture Conclusion 257 Acknowledgements 259 References 259 Chapter 8 Embedded Memory Testing 263 by R. Dean Adams 8.1 Introduction The Memory Design Under Test Static Memory Register Files Dual Port Memories Content Addressable Memories Dynamic Random Access Memories Memory Faults Memory Test Patterns Pattern Nomenclature Key March Patterns Memory Data Backgrounds CAM Test Patterns Self Test Advanced Memories & Technologies Conclusions 298 References 298 Chapter 9 Mixed-Signal Testing and DfT 301 by Stephen Sunter 9.1 A Brief History Functional vs. Structural Test 303

6 Advances in Electronic Testing: Challenges and Methodologies xi Testing Design-for-Test Fault Modeling The State of the Art Testing DfT Fault Modeling Advances in the Last 10 Years Testing DfT Fault Modeling Emerging Techniques and Directions Testing DfT EDA Tools for Mixed-Signal Testing Testing DfT Fault Modeling Future Directions 332 References 334 Chapter 10 RF Testing 337 by Randy Wolf, Mustapha Slamani, John Ferrario and Jayendra Bhagat 10.1 Introduction Testing RFICs RF IC Categories RF Test Challenges RF Test Cost Reduction Factors Resources and Test Time Cost Handler Test Hardware Universal Test Board RF Test Function Sub-Circuit Design Complete Test Architecture Hardware Development Process High Frequency Simulation Tools Schematic Simulation D RF Board Simulation D RF Socket and Package Modeling 365

7 xii 10.7 Device Under Test Interface Sockets Wafer Probes Conclusions 368 Acknowledgements 368 References 368 Chapter 11 Loaded Board Testing 371 by Kenneth P. Parker 11.1 The Defect Space at Board Test What is a "Defect"? What is a "Fault"? The "PCOLA/SOQ" Model Test Coverage In-Circuit Test (ICT) Unpowered Shorts Tests Unpowered Analog Tests Powered In-Circuit Digital Tests Boundary-Scan Tests Powered Mixed-Signal Tests Pros and Cons of ICT Loaded Board Inspection Systems Automatic Optical Inspection (AOI) Automatic X-Ray Inspection (AXI) Pros and Cons of Inspection The Future of Board Test 405 References 406 Index 407

The Boundary - Scan Handbook

The Boundary - Scan Handbook The Boundary - Scan Handbook By Kenneth P. Parker Agilent Technologies * KLUWER ACADEMIC PUBLISHERS Boston / Dordrecht / London TABLE OF CONTENTS List of Figures xiii List of Tables xvi List of Design-for-Test

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Al Crouch ASSET InterTech InterTech.com

Al Crouch ASSET InterTech InterTech.com IJTAG Test Strategy for 3D IC Integration Al Crouch ASSET InterTech acrouch@asset InterTech.com Silicon Valley Test Conference 2011 1 Why 3D? So, who suffers? Fab Tool Providers they only have 5 customers

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities Source: www.datasciencecentral.com/profiles/blogs/artificial-intelligence-vs-machine-learning-vs-deep-learning

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Boundary-Scan Integration to In-Circuit Test

Boundary-Scan Integration to In-Circuit Test Boundary-Scan Integration to In-Circuit Test John Carlos O Farrill, Test Engineer, Jabil Circuit, Inc., Advanced Test Technology E-mail: Carlos_O Farrill@Jabil.com TOPICS Scope of the Paper The Distinct

More information

Design Visibility Enhancement for Failure Analysis

Design Visibility Enhancement for Failure Analysis Design Visibility Enhancement for Failure Analysis Etienne Auvray ST Microelectronics etienne.auvray@st.com ST: Typical FA Process Flow Three steps for fault isolation: Test-based Fault Isolation Global

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

Focus On Structural Test: AC Scan

Focus On Structural Test: AC Scan Focus On Structural Test: AC Scan Alfred L. Crouch Chief Scientist Inovys Corporation al.crouch@inovys.com The DFT Equation The Problem What is Driving Modern Test Technology? 300mm Wafers Volume Silicon/Test

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

Curve Tracing Systems

Curve Tracing Systems Curve Tracing Systems Models Available MultiTrace: The most flexible solution for devices up to 625 pins, capable of any of the applications described here. Comes with a PGA-625 fixture MegaTrace: A larger

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in automotive: Outline marketing requirements

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C DIGITAL SYSTEM Technology Overview Rev C 01-05-2016 Insert Full Frame Product Picture Here 2015 KEY FEATURES DIGITAL PROCESSING SYSTEM FOR INDUSTRIAL & TONNE UE SYSTEM DIGITAL PROCESSING SYSTEM FOR MICRO

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University Built-In Self-Test for System-on on-chip: A Case Study Charles Stroud, Srinivas Garimella,, John Sunwoo, Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

Digital System Test and Testable Design

Digital System Test and Testable Design Digital System Test and Testable Design wwwwwwwwwwww Zainalabedin Navabi Digital System Test and Testable Design Using HDL Models and Architectures Zainalabedin Navabi Worcester Polytechnic Institute Department

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR P. Boulenc 1, J. Robbelein 1, L. Wu 1, L. Haspeslagh 1, P. De Moor 1, J. Borremans 1, M. Rosmeulen 1 1 IMEC, Kapeldreef 75, B-3001 Leuven, Belgium Email: pierre.boulenc@imec.be,

More information

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research Test and Measurement Challenges for 3D IC Development R. Robertazzi IBM Research PFA Bill Price. Pete Sorce. John Ott. David Abraham. Pavan Samudrala Digital Test Kevin Stawaisz. TEL P12 Prober Glen Lansman,

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Test Generation and Fault Simulation Lectures Set 3 Overview Introduction Basics of testing Complexity

More information

In-Circuit Functional Test ATE Tools

In-Circuit Functional Test ATE Tools In-Circuit Functional Test ATE Tools Today many tools are available to test a PCB either in the production line and for repair purposes. In this article we ll try to highlight the basic principles behind

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8, LOW POWER VLSI TESTING OVERVIEW Asha GH Associate Professor, Dept of E & C Engineering, Malnad College of Engineering. Hassan. India Email: ashaghmce@yahoo.com, gha@mcehassan.ac.in Abstract An unintended

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Semiconductor IC Test and Design-for-Test Fundamentals

Semiconductor IC Test and Design-for-Test Fundamentals Semiconductor IC Test and Design-for-Test Fundamentals By Al Crouch, Chief Scientist, Inovys Corporation The Semiconductor Industry seeks to reduce the cost of manufacturing its product by continuously

More information

RTL LEVEL POWER OPTIMIZATION OF ETHERNET MEDIA ACCESS CONTROLLER

RTL LEVEL POWER OPTIMIZATION OF ETHERNET MEDIA ACCESS CONTROLLER RTL LEVEL POWER OPTIMIZATION OF ETHERNET MEDIA ACCESS CONTROLLER V. Baskar 1 and K.V. Karthikeyan 2 1 VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics and Communication Engineering,

More information

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Douglas Sheldon Harald Schone Historical FPGAs have been used in spacecraft for over 10 years.

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

CROSS-REFERENCE TABLE ASME A Including A17.1a-1997 Through A17.1d 2000 vs. ASME A

CROSS-REFERENCE TABLE ASME A Including A17.1a-1997 Through A17.1d 2000 vs. ASME A CROSS-REFERENCE TABLE ASME Including A17.1a-1997 Through A17.1d 2000 vs. ASME 1 1.1 1.1 1.1.1 1.2 1.1.2 1.3 1.1.3 1.4 1.1.4 2 1.2 3 1.3 4 Part 9 100 2.1 100.1 2.1.1 100.1a 2.1.1.1 100.1b 2.1.1.2 100.1c

More information

DFT for Regular Structures

DFT for Regular Structures DFT for Regular Structures Regular Structure Fault Models RAM BIST Architectures ROM & PLA BIST Architectures Bypassing During BIST Benefits & Limitations C. Stroud 11/06 BIST for Regular Structures 1

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

Frequently Asked Questions (FAQ)

Frequently Asked Questions (FAQ) Frequently Asked Questions (FAQ) Embedded Instrumentation: The future of advanced design validation, test and debug Why Embedded Instruments? The necessities that are driving the invention of embedded

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study Ravi Arora Co-Founder & CTO, Graphene Semiconductors India Pvt Ltd, India ABSTRACT: As the world is

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Concurrent Testing with RF

Concurrent Testing with RF Concurrent Testing with RF Jeff Brenner Verigy US EK Tan Verigy Singapore go/semi March 2010 1 Introduction Integration of multiple functional cores can be accomplished through the development of either

More information

White Paper: Through-Silicon Via Interconnection Reliability Assurance System. 1 Introduction

White Paper: Through-Silicon Via Interconnection Reliability Assurance System. 1 Introduction White Paper: Through-Silicon Via Interconnection Reliability Assurance System 1 Introduction Ridgetop Group is developing a solution addressing the quality and reliability problems of applications that

More information

SiP/3D Device Test Challenges using Ever Changing JTAG Standards

SiP/3D Device Test Challenges using Ever Changing JTAG Standards SiP/3D Device Test Challenges using Ever Changing JTAG Standards ( 끝없이변하는 JTAG 표준과이를이용한 SiP/3D 소자테스트의도전 ) Sung Chung, Research Professor Page 1 2013 Hanyang University ERICA, All rights reserved Presentation

More information

Mixed-Signals Integrated Circuit Testing

Mixed-Signals Integrated Circuit Testing Mixed-Signals Integrated Circuit Testing Salvador MIR TIMA Laboratory 46 Av. Félix Viallet 38031 Grenoble salvador.mir@imag.fr Montpellier, 27 th March 2007 1 Outline 1 2 3 4 5 6 Introduction Analog versus

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

ARCHITECTURE DESIGN FOR SOFT ERRORS

ARCHITECTURE DESIGN FOR SOFT ERRORS ARCHITECTURE DESIGN FOR SOFT ERRORS Shubu Mukherjee ^ШВпШшр"* AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO T^"ТГПШГ SAN FRANCISCO SINGAPORE SYDNEY TOKYO ^ P f ^ ^ ELSEVIER Morgan

More information

Design-for-Test and Test Optimization. Techniques for TSV-based 3D Stacked ICs

Design-for-Test and Test Optimization. Techniques for TSV-based 3D Stacked ICs Design-for-Test and Test Optimization Techniques for TSV-based 3D Stacked ICs by Brandon Noia Department of Electrical and Computer Engineering Duke University Date: Approved: Krishnendu Chakrabarty, Supervisor

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller Quest Journals Journal of Electronics and Communication Engineering Research ISSN:2321-5941 Volume1 ~ Issue 2 (2013) pp: 01-06 www.questjournals.org Research Paper A VLSI Implementation of High Speed FSM-based

More information

ECE 1767 University of Toronto

ECE 1767 University of Toronto Memories today Fault Model MARCH algorithms Memory is the most dense physical structure - Embedded memories begin to dominate physical die area vs. logic - Memory arrays can be doubly embedded (ex: microprocessor

More information

BA-BIST: Board Test from Inside the IC Out

BA-BIST: Board Test from Inside the IC Out BA-BIST: Board Test from Inside the IC Out Zoë Conroy, Cisco Al Crouch, Asset InterTech inemi BIST Project 1 05/18/2013 About this Presentation Board-Assist (BA-BIST) is enhanced IC BIST functionality

More information

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman Board-level testing and IEEE1149.x Boundary Scan standard Artur Jutman artur@ati.ttu.ee February 2011 Outline Board level testing challenges Fault modeling at board level (digital) Test generation for

More information

White Paper: Non-Intrusive Board Bring-Up: Software tools ensure fast prototype bring-up

White Paper: Non-Intrusive Board Bring-Up: Software tools ensure fast prototype bring-up White Paper: Non-Intrusive Board Bring-Up: Software tools ensure fast prototype bring-up By Alan Sguigna Vice President, Sales and Marketing ASSET InterTech ASSET InterTech, Inc. 2201 N. Central Expressway,

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Betrouwbare Elektronica ontwerpen en Produceren

Betrouwbare Elektronica ontwerpen en Produceren Betrouwbare Elektronica ontwerpen en Produceren Verbeter betrouwbaarheid, time to market en winstgevendheid met boundary scan JTAG Technologies B.V. Rik Doorneweert rik@jtag.com Boundary scan Testing HW

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method

Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method Prof. R.H. Khade 1 and Mr. Swapnil Gourkar 2 1 Associate Professor, Department of Electronics Engineering, Pillai Institute of

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

About the Instructor

About the Instructor About the Instructor Kwang-Ting (Tim) Cheng PhD, 1988, Univ. of California, Berkeley 1988-1993: AT&T Bell Labs 1993-Present: Professor, Dept. of ECE, Univ. of California, Santa Barbara 1999-2002: Director,

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

Xtra.Remote Control (mk2)

Xtra.Remote Control (mk2) Xtra.Remote Control (mk2) 1. INSTALLATION OF THE REMOTE CONTROL The following accessories are related to the Remote Control Unit: Remote Control Unit Adapter (1A @ 5V DC) Pair of rechargeable batteries

More information

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT 2010 Invited Speaker ARCHIVE 2010 RISING TO THE 3D TSV TEST CHALLENGE: WILL YOU BE READY? by Françoise von Trapp Editorial Director 3D InCites 3D ABSTRACT integration is not a novel concept. Veterans in

More information

Basics of board-level testing and IEEE1149.x Boundary Scan standard

Basics of board-level testing and IEEE1149.x Boundary Scan standard Basics of board-level testing and IEEE1149.x Boundary Scan standard Artur Jutman artur@ati.ttu.ee TU Tallinn, ESTONIA February 2016 http://www.pld.ttu.ee/~artur/labs/ System Level Test across different

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

Test Match Partnering Specialist Boundary-Scan with ICT

Test Match Partnering Specialist Boundary-Scan with ICT Test Match Partnering Specialist Boundary-Scan with ICT Introduction: Boosting ICT to Improve Testability Popular ICT platforms from well-known vendors can perform a wide variety of analogue, digital,

More information

Scanning Acoustic Microscopy For Metrology of 3D Interconnect Bonded Wafers

Scanning Acoustic Microscopy For Metrology of 3D Interconnect Bonded Wafers Scanning Acoustic Microscopy For Metrology of 3D Interconnect Bonded Wafers Jim McKeon, Ph.D. - Sonix, Director of Technology Sriram Gopalan, Ph.D. - Sonix, Technology Engineer 8700 Morrissette Drive 8700

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS DG409xxx Rev. A RELIABILITY REPORT FOR DG409xxx PLASTIC ENCAPSULATED DEVICES August 21, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord Quality

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

RELIABILITY REPORT FOR. MAX4544xxx PLASTIC ENCAPSULATED DEVICES. October 10, 2001 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086

RELIABILITY REPORT FOR. MAX4544xxx PLASTIC ENCAPSULATED DEVICES. October 10, 2001 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 MAX4544xxx Rev. A RELIABILITY REPORT FOR MAX4544xxx PLASTIC ENCAPSULATED DEVICES October 10, 2001 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord Quality

More information

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Amruta P. Auradkar # and Dr. R. B. Shettar * # M.Tech.,2 nd year, Digital Electronics,

More information

Agilent i p Software update. October 9, Santa Clara, CA. October 9, 2008

Agilent i p Software update. October 9, Santa Clara, CA. October 9, 2008 Agilent i3070 07.20p Software update Santa Clara, CA What s New in ver 7.20p? VTEP v2.0 Powered! with Cover-Extend Technology (CET) IEEE 1149.6 Solution (Phase 2) Enhancements (including enhanced guarding

More information

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017 Strato and Strato OS Your new weapon for verification challenge Justin Zhang Senior Applications Engineering Manager Nov 2017 Emulation Market Evolution Emulation moved to Virtualization with Veloce2 Data

More information