A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

Size: px
Start display at page:

Download "A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique"

Transcription

1 A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon, Satoshi Kondo, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of Technology, Japan International Solid-State Circuits Conference 0 of 42

2 Outline Motivation Concept of synthesizable analog circuits Synthesizable PLL Interpolative phase-coupled oscillator Standard-cell I-DAC Standard-cell varactor Edge injection Measurement Results Conclusion International Solid-State Circuits Conference 1 of 42

3 Motivation Synthesizable analog circuits Portability Scalability Layout issues above 20nm Potential applications PLL ADC, DAC Wireless/Wireline transceivers International Solid-State Circuits Conference 2 of 42

4 Synthesizable Analog Circuits HDL module PLL (CLK,, OUT) endmodule Digital design flow Commercial P&R tools GDS with a standard-cell library without any custom-designed cells without manual placement International Solid-State Circuits Conference 3 of 42

5 Issue: Layout Uncertainty Ideal placement Actual placement Unbalanced loading No layout symmetry A new analog-circuit architecture is required, which tolerates layout impairment/uncertainty. International Solid-State Circuits Conference 4 of 42

6 Conventional All-digital PLLs TDC-based architecture The layout uncertainty degrades TDC and DCO linearity. Poor frequency resolution by standard-cell design. Trade-off between layout integrity and jitter performance International Solid-State Circuits Conference 5 of 42

7 Proposed Synthesizable PLL Injection-locking topology Avoid TDC issues (linearity, power-resolution trade-off) Circuit techniques Interpolative phase-coupled osc. & I-DAC Overcome phase imbalance A new varactor for fine resolution Low spur level Edge injection technique Relax severe timing design International Solid-State Circuits Conference 6 of 42

8 TOP Block Diagram Pulse Edge Interpolation Stdcell varactor Stdcell I-DAC [W. Deng, et al., ISSCC 2013] International Solid-State Circuits Conference 7 of 42

9 TOP Block Diagram Feedforward phase locking Pulse Edge Interpolation Stdcell varactor Stdcell I-DAC International Solid-State Circuits Conference 8 of 42

10 TOP Block Diagram Pulse Edge Interpolation Stdcell varactor Stdcell I-DAC Feedback FLL for frequency tracking [W. Deng, et al., ISSCC 2013] International Solid-State Circuits Conference 9 of 42

11 Outline Motivation Concept of synthesizable analog circuits Synthesizable PLL Interpolative phase-coupled oscillator Standard-cell I-DAC Standard-cell varactor Edge injection Measurement Results Conclusion International Solid-State Circuits Conference 10 of 42

12 Block Diagram of DCO [A. Matsumoto, et al., JSSC 2008] International Solid-State Circuits Conference 11 of 42

13 Block Diagram of Oscillator 1 Osc. 1 International Solid-State Circuits Conference 12 of 42

14 Block Diagram of Oscillator 2 Osc. 2 International Solid-State Circuits Conference 13 of 42

15 Block Diagram of Oscillator 3 Osc. 3 International Solid-State Circuits Conference 14 of 42

16 Interpolative Phase-coupled Ring PI: Phase Interpolator International Solid-State Circuits Conference 15 of 42

17 Outline Motivation Concept of synthesizable analog circuits Synthesizable PLL Interpolative phase-coupled oscillator Standard-cell I-DAC Standard-cell varactor Edge injection Measurement Results Conclusion International Solid-State Circuits Conference 16 of 42

18 Conventional Coarse Tuning Unbalanced loading at each stage. [D. Sheng, et al., TCAS II 2007] International Solid-State Circuits Conference 17 of 42

19 Coarse Tuning using DAC n DAC International Solid-State Circuits Conference 18 of 42

20 Simple Voltage-output DAC D 0 = 0 D 0 = 1 V out = 1V V out = 0V D 0 D 1 = 11 V out = 0V D 0 D 1 = 10 V out = 0.5V D 0 D 1 = 01 V out = 0.5V D 0 D 1 = 00 V out = 1V International Solid-State Circuits Conference 19 of 42

21 Model of V-linear DAC How to obtain a I-linear DAC? International Solid-State Circuits Conference 20 of 42

22 Proposed I-linear DAC D 0 1 D D I out D 3 8 A feedback structure for forming a current mirror. International Solid-State Circuits Conference 21 of 42

23 Proposed I-linear DAC (cont.) D 0 D 4 D 4 1 D 0 D 1 D 4 D D 1 D 4 D 2 D 3 D 4 D D 4 2 D 2 D 4 D D 3 D I DAC D 4 8 International Solid-State Circuits Conference 22 of 42

24 Simulation Result International Solid-State Circuits Conference 23 of 42

25 Current[mA] Frequency[GHz] V-DAC VS I-DAC D4=1 D4= Control Code Control Code International Solid-State Circuits Conference 24 of 42

26 Outline Motivation Concept of synthesizable analog circuits Synthesizable PLL Interpolative phase-coupled oscillator Standard-cell I-DAC Standard-cell varactor Edge injection Measurement Results Conclusion International Solid-State Circuits Conference 25 of 42

27 Medium Tuning Capacitor Conventional: V in [P.L. Chen, et al., TCAS II 2005] International Solid-State Circuits Conference 26 of 42

28 Cmedium [ff] Simulated C medium against V in Miller effect DM=1 8 4 NMOS PMOS+offset DM=0 offset Vin [V] International Solid-State Circuits Conference 27 of 42

29 C in [ff] Miller Effect Sensitivity V OUT =0.2V 8 4 V OUT =0.4V V OUT =0.6V V in [V] Miller effect can be controlled by Vout. International Solid-State Circuits Conference 28 of 42

30 Miller Effect Sensitivity (Cont.) A transient variation of VOUT can make a fine capacitance difference in CIN. t International Solid-State Circuits Conference 29 of 42

31 V in [V] V in [V] Tuning Capacitors V in V in (Proposed) V DD V DD D M =0 4.8ps D M =1 D F =1 0.4ps (0.066ps 6) D F = Time[ps] Time[ps] International Solid-State Circuits Conference 30 of 42

32 Outline Motivation Concept of synthesizable analog circuits Synthesizable PLL Interpolative phase-coupled oscillator Standard-cell I-DAC Standard-cell varactor Edge injection Measurement Results Conclusion International Solid-State Circuits Conference 31 of 42

33 Conventional Pulse Injection Free-running Injection Pulse Injection locked Severe timing design is required on the injection pulse width. [B. Helal, et al., JSSC 2009] International Solid-State Circuits Conference 32 of 42

34 Edge Injection Vx (1) (3) Inj. window (2) Inj. edge Vy Severe timing design is not required. International Solid-State Circuits Conference 33 of 42

35 Design Procedure Verilog netlist (gate-level) DCO Logic Verilog RTL Logic Synt. Tool Logic Verilog netlist (gate-level) DAC Netlist P&R Tool GDSII International Solid-State Circuits Conference 34 of 42

36 Chip Microphotograph 110mm CMOS 65nm 60mm Fully Synthesized International Solid-State Circuits Conference 35 of 42

37 Phase Noise [dbc/hz] 0-40 Phase Noise Frequency: 900MHz Integrating Jitter: 1.7ps PDC: 780mW k 100k 1M 10M Offset Frequency[Hz] International Solid-State Circuits Conference 36 of 42

38 Measured Spur Level Pulse Injection (Conventional) N=6 Edge Injection (This work) N=6-42dBc -51dBc 1 st Spur: -41 dbc 2 nd Spur: -42 dbc 1 st Spur: -41 dbc 2 nd Spur: -51 dbc N: Multiplication factor International Solid-State Circuits Conference 37 of 42

39 60mm 70mm Layout Consideration Integrating Jitter: 1.7ps PDC: 780mW FOM: db 110 mm Integrating Jitter: 2.32ps PDC: 640mW FOM: db 130 mm DCO DCO DCO DCO Fully synthesized (proposed) Hierarchical P&R with synthesized DCOs International Solid-State Circuits Conference 38 of 42

40 Compar. of Synthesizable PLLs This work 65nm [1] 28nm [2] 65nm [3] 65nm Power [mw] Area [mm 2 ] Integ. Jitter [ps] 1.7 N.A. 30 N.A. RMS Jitter [ps] N.A FOM [db] * * W/ custom cells? No No Yes Yes Topology IL-base TDC-base TDC-base TDC-base *FOM is calculated based on RMS jitter. International Solid-State Circuits Conference 39 of 42

41 Performance Comparison International Solid-State Circuits Conference 40 of 42

42 Conclusion Synthesizable analog circuit design is proposed. By the digital design flow Without any manual placement Without any custom-designed cells Fully synthesized PLL Dual-loop injection-lock topology Current-output DAC Ultra-fine frequency resolution Interpolative-phase coupled oscillator International Solid-State Circuits Conference 41 of 42

43 Acknowledgement This work was partially supported by STARC, SCOPE, MIC, MEXT, Canon Foundation, and VDEC in collaboration with Synopsys, Inc., Cadence Design Systems, Inc., and Agilent Technologies Japan, Ltd. International Solid-State Circuits Conference 42 of 42

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz Datasheet The SLC is a very affordable single or dual clock 7 GHz synthesizer that exhibits outstanding phase noise and jitter performance in a very

More information

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS Ken Poulton, Robert Neff, Brian Setterberg, Bernd Wuppermann, Tom Kopley, Robert Jewett, Jorge Pernillo, Charles Tan, Allen Montijo 1 Agilent Laboratories,

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Mixed Signal IP Design Guide

Mixed Signal IP Design Guide Mixed Signal IP Design Guide Vol13 Iss2 v3, Nov. 5, 2013 The Leading Provider of High-Performance Silicon-Proven Mixed-Signal IP BENEFITS Integrate Mixed-Signal Content into Your SoC Improve Performance

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2

More information

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4 ASIC: design specs LAPPD Collaboration Designed to sample & digitize fast pulses (MCPs): Sampling rate capability > 10GSa/s Analog bandwidth

More information

CHAPTER 4 DUAL LOOP SELF BIASED PLL

CHAPTER 4 DUAL LOOP SELF BIASED PLL 52 CHAPTER 4 DUAL LOOP SELF BIASED PLL The traditional self biased PLL is modified into a dual loop architecture based on the principle widely applied in clock and data recovery circuits proposed by Seema

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Characterizing Your PLL-based Designs To Manage System Jitter. Agilent Technologies

Characterizing Your PLL-based Designs To Manage System Jitter. Agilent Technologies Characterizing Your PLL-based Designs To Manage System Jitter Rob Sleigh Greg D. Le Cheminant Agilent Technologies Copyright 2008 Agilent Technologies Page 1 Outline A review of digital communications

More information

HIGH SPEED SIGNAL CHAIN SELECTION GUIDE

HIGH SPEED SIGNAL CHAIN SELECTION GUIDE HIGH SPEED SIGNAL CHAIN SELECTION GUIDE Includes High Speed Cs, DACs, Amplifiers, and Clocking Solutions Visit analog.com and linear.com High Speed Signal Chain Product Selection Guide TABLE OF CONTENTS

More information

A Highly-Digital Frequency Synthesizer Using Ring Oscillator Frequency-to-Digital Conversion and Noise Cancellation

A Highly-Digital Frequency Synthesizer Using Ring Oscillator Frequency-to-Digital Conversion and Noise Cancellation A Highly- Freqency Synthesizer Using Ring Oscillator Freqency-to- Conversion and Noise Cancellation Colin Weltin-W,2, Gobi Zhao, Ian Galton University of California at San Diego, La Jolla, CA 2 Analog

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

THE phase-locked loop (PLL) is a widely used circuit for

THE phase-locked loop (PLL) is a widely used circuit for IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 5, MAY 2004 751 A Digitally Controlled PLL for SoC Applications Thomas Olsson, Member, IEEE, and Peter Nilsson, Member, IEEE Abstract A fully integrated

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 310/1780-18 ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 Design Methodology Tools Jorgen CHRISTIANSEN PH-ED CERN CH-1221 Geneva

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

Delay Modeling and Static Timing Analysis for MTCMOS Circuits

Delay Modeling and Static Timing Analysis for MTCMOS Circuits Delay Modeling and Static Timing Analysis for MTCMOS Circuits Naoaki Ohkubo Kimiyoshi Usami Graduate School of Engineering, Shibaura Institute of Technology 307 Fukasaku, Munuma-ku, Saitama, 337-8570 Japan

More information

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology Ronak J. Patel 1, Shaishav P. Patel 2, Nilesh D. Patel 3 1 PG Student, CSPIT, Changa, 2 PG Student, LCIT, Bhandu, 3

More information

Frequency Agile Wideband Phase Lock Loops for RF-FPGAs

Frequency Agile Wideband Phase Lock Loops for RF-FPGAs Frequency Agile Wideband Phase Lock Loops for RF-FPGAs Monte Mar, Jeremy Popp, Bert Sullam, Xiaoyin Yao Solid-State Electronics Development Boeing Research and Technology The Boeing Company Email: (monte.f.mar@boeing.com)

More information

Development of a New TDC LSI and a VME Module

Development of a New TDC LSI and a VME Module Presented at the 2001 IEEE Nuclear Science Symposium, San Diego, Nov. 3-10, 2001. To be published in IEEE Trans. Nucl. Sci. June, 2002. Development of a New TDC LSI and a VME Module Yasuo Arai, Member,

More information

2 AA Cell to 3.3V USB On-The-Go Devices White LED Drivers Handheld Devices. The HM3200B is available in the 6-pin SOT23-6.

2 AA Cell to 3.3V USB On-The-Go Devices White LED Drivers Handheld Devices. The HM3200B is available in the 6-pin SOT23-6. Low Noise, Regulated Charge Pump DC/DC Converter Features Fixed 3.3V ± 4% Output VIN Range: 1.8V to 5V Output Current: 100mA Constant Frequency Operation at All Loads Low Noise Constant Frequency (1.2MHz)

More information

IEEE 1394a_2000 Physical Layer ASIC

IEEE 1394a_2000 Physical Layer ASIC IEEE 1394a_2000 Physical Layer ASIC Ranjit Yashwante, Bhalchandra Jahagirdar ControlNet (India) Pvt. Ltd. www.controlnetindia.com {ranjit, jahagir}@controlnet.co.in Abstract CN4011A is IEEE 1394a_2000

More information

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices.

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Course Introduction Purpose This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Objectives Learn approaches and design methods

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

Lecture 11 Logic Synthesis, Part 2

Lecture 11 Logic Synthesis, Part 2 Lecture 11 Logic Synthesis, Part 2 Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Write Synthesizable Code Use meaningful names for signals and variables

More information

Introduction Technology Equipment Performance Current developments Conclusions. White Rabbit. A quick introduction. Javier Serrano

Introduction Technology Equipment Performance Current developments Conclusions. White Rabbit. A quick introduction. Javier Serrano White Rabbit A quick introduction Javier Serrano CERN BE-CO Hardware and Timing section ICALEPCS pre-conference workshop Barcelona, 7 October 2017 Javier Serrano Introduction to White Rabbit 1/29 Outline

More information

A Transistor-level Symmetrical Layout Generation for Analog Device

A Transistor-level Symmetrical Layout Generation for Analog Device R2-21 SASIMI 2012 Proceedings A Transistor-level Symmetrical Layout Generation for Analog Device Bo Yang, Qing Dong, Jing Li, Shigetoshi Nakatake Department of Information and Media Engineering, The University

More information

Clock and Timing ICs.

Clock and Timing ICs. Clock and Timing ICs Analog Devices offers innovative clock and timing solutions designed to improve system performance, enable new architectures, and lower development and manufacturing costs. Products

More information

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver D. Dunwell and A. Chan Carusone University of Toronto Analog Front End Adaptation Analog Front-End (AFE) Digital

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter Bongjin Kim, Weichao Xu, and Chris H. Kim University of Minnesota,

More information

A NEW TIMING CALIBRATION METHOD FOR SWITCHED CAPACITOR ARRAY CHIPS TO ACHIEVE SUB-PICOSECOND RESOLUTIONS

A NEW TIMING CALIBRATION METHOD FOR SWITCHED CAPACITOR ARRAY CHIPS TO ACHIEVE SUB-PICOSECOND RESOLUTIONS Stefan Ritt, Paul Scherrer Institute, Switzerland A NEW TIMING CALIBRATION METHOD FOR SWITCHED CAPACITOR ARRAY CHIPS TO ACHIEVE SUB-PICOSECOND RESOLUTIONS 13 March 2014 Workshop on Picosecond Photon Sensors,

More information

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs 19-491; Rev ; 1/9 EVALUATION KIT AVAILABLE General Description The is a highly flexible, precision phaselocked loop (PLL) clock generator optimized for the next generation of network equipment that demands

More information

Parameterize behavioral models using WiCkeD Modeling

Parameterize behavioral models using WiCkeD Modeling Parameterize behavioral models using WiCkeD Modeling Demonstrator: Charge Pump Phase Locked Loop (CP-PLL) Dr. Volker Glöckel Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL

More information

AS CMOS TECHNOLOGY advances and the ratio between

AS CMOS TECHNOLOGY advances and the ratio between IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 60, NO. 6, JUNE 2013 311 A 990-μW 1.6-GHz PLL Based on a Novel Supply-Regulated Active-Loop-Filter VCO Kwang-Chun Choi, Sung-Geun Kim,

More information

High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface. Outline

High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface. Outline High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface Hideki Osaka Hitachi Ltd., Kanagawa, Japan oosaka@sdl.hitachi.co.jp Toyohiko Komatsu Hitachi Ltd., Kanagawa, Japan

More information

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT)

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT) ATLAS Production Readiness Review ATLAS Muon TDC (AMT) 2 June 22@CERN Yasuo Arai (KEK) yasuo.arai@kek.jp http://atlas.kek.jp/tdc/prr/ Description of Circuit Fine Time Measurement : LVDS Receiver/Dribver

More information

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs 19-4909; Rev 0; 10/09 EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, General Description The is a highly flexible, precision phaselocked loop (PLL) clock generator optimized for the next generation

More information

Low-Jitter Frequency Synthesizer with Selectable Input Reference MAX3673

Low-Jitter Frequency Synthesizer with Selectable Input Reference MAX3673 19-44 ; Rev 0; 2/09 E V A L U A T I O N K I T A V A I L A B L E Low-Jitter Frequency Synthesizer General Description The is a low-jitter frequency synthesizer that accepts two reference clock inputs and

More information

ProASIC PLUS FPGA Family

ProASIC PLUS FPGA Family ProASIC PLUS FPGA Family Key Features Reprogrammable /Nonvolatile Flash Technology Low Power Secure Single Chip/Live at Power Up 1M Equivalent System Gates Cost Effective ASIC Alternative ASIC Design Flow

More information

AN-1055 APPLICATION NOTE

AN-1055 APPLICATION NOTE AN-155 APPLICATION NOTE One Technology Way P.O. Box 916 Norwood, MA 262-916, U.S.A. Tel: 781.329.47 Fax: 781.461.3113 www.analog.com EMC Protection of the AD7746 by Holger Grothe and Mary McCarthy INTRODUCTION

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

7. Integrated Data Converters

7. Integrated Data Converters Intro Flash SAR Integrating Delta-Sigma /43 7. Integrated Data Converters Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma

More information

PI6LC48L0201A 2-Output LVDS Networking Clock Generator

PI6LC48L0201A 2-Output LVDS Networking Clock Generator Features ÎÎTwo differential LVDS output pairs ÎÎSelectable crystal oscillator interface or LVCMOS/LVTTL single-ended clock input ÎÎSupports the following output frequencies: 62.5MHz, 125MHz, 156.25MHz

More information

MAX3636 Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs

MAX3636 Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs 19-629; Rev ; 9/11 E V A L U A T I O N K I T A V A I L A B L E General Description The is a highly flexible, precision phase-locked loop (PLL) clock generator optimized for the next generation of network

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS)

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) Q. Sun a,b, K. Jaaskelainen a, I. Valin a, G. Claus a, Ch. Hu-Guo a, Y. Hu a, a IPHC (Institut

More information

Silicon Photonics PDK Development

Silicon Photonics PDK Development Hewlett Packard Labs Silicon Photonics PDK Development M. Ashkan Seyedi Large-Scale Integrated Photonics Hewlett Packard Labs, Palo Alto, CA ashkan.seyedi@hpe.com Outline Motivation of Silicon Photonics

More information

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices Balwinder Kumar, Yogesh Dilip Save, H. Narayanan, and Sachin B. Patkar Electrical Engineering Department, Indian Institute

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

2.5 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch ADG3247

2.5 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch ADG3247 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.244 Gbps V/3.3 V Supply Operation Selectable Level

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

Linking Layout to Logic Synthesis: A Unification-Based Approach

Linking Layout to Logic Synthesis: A Unification-Based Approach Linking Layout to Logic Synthesis: A Unification-Based Approach Massoud Pedram Department of EE-Systems University of Southern California Los Angeles, CA February 1998 Outline Introduction Technology and

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.244 Gbps V/3.3 V Supply Operation Selectable

More information

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.5 Gbps 2.5 V/3.3 V Supply

More information

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram s Features ÎÎPCIe 3.0 compliant à à Phase jitter - 0.45ps RMS (High Freq. Typ.) ÎÎLVDS compatible output ÎÎSupply voltage of 3.3V ±10% ÎÎ25MHz crystal or clock input frequency ÎÎHCSL outputs, 0.8V Current

More information

ECE 459/559 Secure & Trustworthy Computer Hardware Design

ECE 459/559 Secure & Trustworthy Computer Hardware Design ECE 459/559 Secure & Trustworthy Computer Hardware Design VLSI Design Basics Garrett S. Rose Spring 2016 Recap Brief overview of VHDL Behavioral VHDL Structural VHDL Simple examples with VHDL Some VHDL

More information

UCLA 3D research started in 2002 under DARPA with CFDRC

UCLA 3D research started in 2002 under DARPA with CFDRC Coping with Vertical Interconnect Bottleneck Jason Cong UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/ cs edu/~cong Outline Lessons learned Research challenges and opportunities

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo, Hoi-Jun Yoo Semiconductor System

More information

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán Nevis ADC Design Columbia University June 4, 2014 Outline The goals of the project Introductory remarks The road toward the design Components developed in Nevis09, Nevis10 and Nevis12 Nevis13 chip Architecture

More information

23. Digital Baseband Design

23. Digital Baseband Design 23. Digital Baseband Design Algorithm-to-VLSI Circuit Refinement (Floating Point) Tradeoff (SNR Loss, BER) (Fixed Point) VHDL, Verilog VHDL, Verilog Memory Control For I=0 to I=15 Sum = Sum + array[i]

More information

Architecture and Automated Design Flow for Digital Network on chip for Analog/RF Building Block Control

Architecture and Automated Design Flow for Digital Network on chip for Analog/RF Building Block Control Architecture and Automated Design Flow for Digital Network on chip for Analog/RF Building Block Control Wolfgang Eberle, PhD IMEC Bioelectronic Systems Bridging software and analog/rf Software defined

More information

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Ordering number : ENN6370A CMOS IC LC75808E, 75808W 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Overview The LC75808E and LC75808W are 1/8 to 1/10 duty LCD display drivers that can directly

More information

Intro to Cadence. Brady Salz. ECE483 Spring 17

Intro to Cadence. Brady Salz. ECE483 Spring 17 Intro to Cadence Brady Salz ECE483 Spring 17 What We re Doing Learn you a Cadence Learn simulation vocabulary Basic schematic guidelines Simulation results Init Before we begin, open a terminal: $ module

More information

RF ESD Protection Strategies The Design and Performance Trade-off Challenges

RF ESD Protection Strategies The Design and Performance Trade-off Challenges RF ESD Protection Strategies The Design and Performance Trade-off Challenges Ph.Jansen, S.Thijs, D.Linten, M.I.Natarajan V.Vassilev, M.Liu, D.Trémouilles, S.Decoutere, G.Groeseneken T.Nakaie, M.Sawada,

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

[Indu*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Indu*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY GLITCH-FREE NAND-BASED DIGITALLY CONTROLLED DELAY LINES M.Indu*, S.HasmashruthiA.Nandhini, N.Megala Electronics and Communication

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

YB1300S Switched Capacitor Boost Converter 5V/4.5V

YB1300S Switched Capacitor Boost Converter 5V/4.5V Description The YB1300S is a switched capacitor DC/DC boost converter that produces a regulated 5V output. The input voltage range is from 2.7V to 5.0V that makes the YB1300S ideally for a single cell

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

SM Features. General Description. Applications. Block Diagram

SM Features. General Description. Applications. Block Diagram ClockWorks Fibre Channel (106.25MHz, 212.5MHz) Ultra-Low Jitter, LVDS Frequency Synthesizer General Description The is a member of the ClockWorks family of devices from Micrel and provides an extremely

More information

Low-Jitter Frequency Synthesizer with Intelligent Dynamic Switching

Low-Jitter Frequency Synthesizer with Intelligent Dynamic Switching 19-4115; Rev 0; 5/08 E V A L U A T I O N K I T A V A I L A B L E Low-Jitter Frequency Synthesizer with General Description The is a low-jitter frequency synthesizer with intelligent dynamic clock switching

More information

Synthesis. Other key files. Standard cell (NAND, NOR, Flip-Flop, etc.) FPGA CLB

Synthesis. Other key files. Standard cell (NAND, NOR, Flip-Flop, etc.) FPGA CLB SYNTHESIS Synthesis Involves synthesizing a gate netlist from verilog source code We use Design Compiler (DC) by Synopsys which is the most popular synthesis tool used in industry Target library examples:

More information

A New Methodology for AMS SoC Design that Enables AMS Design Reuse and Achieves Full-Custom Performance

A New Methodology for AMS SoC Design that Enables AMS Design Reuse and Achieves Full-Custom Performance A New Methodology for AMS SoC Design that Enables AMS Design Reuse and Achieves Full-Custom Performance Kazuhiro ODA 1, Louis A. Prado 2, and Anthony J. Gadient 2 1 Toshiba Corp. 580-1, Horikawa-cho, Saiwai-ku,

More information

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process Tao-Yi Hung and Ming-Dou Ker Institute of Electronics, National Chiao Tung University, Hsinchu, Taiwan Abstract- ESD protection design

More information

EE247 Lecture 20. EECS 247 Lecture 20: Data Converters: Nyquist Rate ADCs 2009 Page 1. Project

EE247 Lecture 20. EECS 247 Lecture 20: Data Converters: Nyquist Rate ADCs 2009 Page 1. Project EE247 Lecture 20 ADC Converters (continued) Comparator design (continued) Latched comparators Comparator architecture examples Techniques to reduce flash ADC complexity Interpolating Folding Interpolating

More information

Low Voltage Bandgap References and High PSRR Mechanism

Low Voltage Bandgap References and High PSRR Mechanism Low Voltage Bandgap References and High PSRR Mechanism Vahe Arakelyan 2nd year Master Student Synopsys Armenia Educational Department, State Engineering University of Armenia Moscow March 21-24, 2011 Outline

More information

The VHDL Based Design of the MIDA MPEG1 Audio Decoder

The VHDL Based Design of the MIDA MPEG1 Audio Decoder The VHDL Based Design of the MIDA MPEG1 Audio Decoder Andrea Finotello, Maurizio Paolini CSELT - Centro Studi E Laboratori Telecomunicazioni S.p.A. Via Guglielmo Reiss Romoli, 274 I-10148 Torino, Italy

More information

EE 471: Transport Phenomena in Solid State Devices

EE 471: Transport Phenomena in Solid State Devices EE 471: Transport Phenomena in Solid State Devices HW7 Due: 4/17/18 For this homework, you will download a free PC version of the industry standard SPICE circuit simulator called LTspice, provided by Linear

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Session 1.2 - Hop Topics for SoC Design Asynchronous System Design Prof. Marc RENAUDIN TIMA, Grenoble,

More information

EPSON. Technical Note. Oscillator Jitter and How to Measure It. Introduction. Jitter. Cycle-Cycle Jitter

EPSON. Technical Note. Oscillator Jitter and How to Measure It. Introduction. Jitter. Cycle-Cycle Jitter 1960 E. Grand Ave., 2 nd Floor El Segundo, California 90245 Phone: 310.955.5300 Fax: 310.955.5400 Technical Note Oscillator Jitter and How to Measure It Introduction Jitter is a term that is becoming widely

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information