A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment.

Size: px
Start display at page:

Download "A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment."

Transcription

1 A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment. 8th Workshop on Electronics for LHC Experiments 9-13 Sept. 2002, Colmar, France K. Kloukinas, G. Magazzu, A. Marchioro CERN EP division, 1211 Geneva 23, Switzerland

2 Overview Motive of Work Description of the macro-cell design Experimental Results Conclusions Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 2

3 Motive of Work Several Front-End ASICs for the LHC detectors are using the CERN DSM Design Kit in 0.25 µm commercial CMOS technology. Many ASICs require the use of rather large memories in Readout Pipelines, Readout Buffers and FIFOs. CERN DSM Design Kit lacks design automation tools for generating customized SRAM blocks. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 3

4 Proposed Design Built an SRAM macro-cell that can be configured in terms of word counts and bit organization by means of simple floorplanning procedures. Initially designed for the needs of the Kchip Front-End ASIC used in the CMS ECAL Preshower detector. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 4

5 CERN-SRAM specifications Scalable Design Configurable Bit organization (n x 9-bit). Configurable Memory Size (128 4Kwords). Write Address Synchronous Dual-Port Operation Permits Read/Write operations on the same clock cycle. Typical Operating Frequency: 40 MHz. Low Power Design Full Static Operation. Divided Wordline Decoding. Read Address RD WR CLK Data In SRAM Radiation Tolerant Design Data Out Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 5

6 Memory Cell RBL WBL WBL RBL BL BL R_WL W_WL WL Dual Port SRAM Cell Single Port SRAM Cell To minimize the macro-cell area a Single Port memory cell is used based on a conventional cross-coupled inverter scheme. Gain in Memory Cell Layout Area = 18% Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 6

7 Memory Cell Design BL BL BL GND VDD GND BL M1 M2 WL M3 WL Single Port memory cell Interconnect: 3 metal layers 1 st for local interconnects 2 nd for vertical bitlines and power lines 3 rd for horizontal wordlines Memory Cell Area: µm µm 5.60 µm PC Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 7

8 SRAM Block Diagram Din <m-1..0> WA <n-1..0> RA <n-1..0> Addr. Reg. Addr. Reg. Data Reg. m 7 n-7 Row decoder Word-line Buffers Write Drivers SRAM Array Dual-port functionality is realized with a time sharing access mechanism. Registered Inputs Latched Outputs Clk R W clk Timing Logic Read Logic Column-decoder Data Latch Dout <m-1..0> Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 8

9 SRAM Interface Timing Clk WA WRITE READ READ/WRITE t S t H t S t H RA W R Din Dout t acc Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 9

10 SRAM macro-cell Design Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 10

11 Address Mux Register Leaf cell is based on the D-F/F and the 2-input Mux standard cells found in the CERN DSM Design Kit. WA D SET Q True & Complementary output with balanced timing. RA D CLR SET Q Q Addr AddrZ Easily sizeable by abutting the necessary number of leaf cells. Clk CLR Q Leaf Cell Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 11

12 Row Decoder Decoder: 7 to 128 Hardwire-configured. Pre-routed layout block. A6 WL0 WL1 Dynamic NAND-type. Speed, Area, Power advantages over the static NAND-type. Latched output. precharge A0 WL WL2 WL3 evaluate WL128 A0...A6 A0...A6 Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 12

13 Column Decoder Static NAND-type implementation Column decoding is one of the last actions to be performed in the read sequence. It can be executed in parallel with other functions, and can be performed as soon as address is available. Its propagation delay does not add to the overall memory access time. Size Configurable Make use of Design kit standard cells. Decoding function is via-hole programmable. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 13

14 Divided Wordline Decoding Global Word-line Local Word-line Local Word-line Block Select Block Select Reduced Power Consumption. The non accessed portions of the memory remain in the precharge state. Improved Wordline Selection Time. Since the RC delay in each divided wordline is small due to its short length. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 14

15 Divided Wordline Decoding Data In Write Drivers Write Drivers Write Drivers Write Drivers Global Word-line Local Word-line SRAM Array Word-line Buffers SRAM Array Word-line Buffers Row Decoder Word-line Buffers SRAM Array Word-line Buffers SRAM Array Address Read Logic Read Logic Read Logic Read Logic Data Out Column Dec. Column Dec. Column Dec. Column Dec. Block Pre-Dec. Block Select signals Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 15

16 SRAM macro-cell Design Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 16

17 Data Input Output Ports Data Input Register Leaf cell is based on the D-F/F standard cell from CERN DSM Design Kit. True & Complementary output with balanced timing. Data Output Latch Leaf cell is based on the Latch standard cell from CERN DSM Design Kit. Easily sizeable by abutting the necessary number of leaf cells. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 17

18 SRAM Data Path Data in Clk Write enable Bit Line Write Drivers Bit Line precharge BLPC evaluate precharge Word Line evaluate Read Logic Latch Data out Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 18

19 Read Logic Substitution of the conventional sense amplifier with an asymmetric inverter. Reduced Power Consumption Stable operation al low power supply voltages. Acceptable performance for target applications. Easy to design. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 19

20 Replica Techniques Scalability Wordline select time depends on the size of the memory. Dummy Wordline with replica memory cells to track the wordline charge-discharge time. Bitline Timing Dummy Bitlines to mimic the delay of the bitline path over all conditions. 128 rows Dummy Word Line SRAM Array Dummy Bit Lines WLdummy BL0 Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 20

21 Replica Techniques Row Decoder A6 Data in WEN BLPC Bit Line Bit Line Dummy Bit Lines A0 WLPC Global Word Line Local Word Line WLPC WLdummy Block Select LWLdummy Dummy Word Line BL BL BL0 Latch Data out Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 21

22 Timing Logic Data Input Register Clk Address Mux Register Clk SRAM Interface Memory Cell Array Clk R W WLdummy BL0 Timing Logic WLpc BLpc REN WEN Latch Memory Cell Array Data Ouput Latch Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 22

23 Timing Logic Asynchronous internal timing of control signals. Static operation. Hand-shaking and transition detection to realize internal timing loops. Timing loops are initiated by the system clock and terminated upon completion of the operation. All control signals are forced back to their initial state to prepare for subsequent tasks. During standby periods, bitlines and wordlines precharge-evaluate cycles are not initiated, thus keeping the Power Consumption to a minimum. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 23

24 Operation and Timing Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 24

25 Cell Library Size Configurable Fixed Layout Data Input Register Address Mux Register WordLine Buffers SRAM column, 128 x 9bits (50.4 µm x µm) Column Decoder Block Pre-Decoder Output Data Latche Row Decoder Timing logic Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 25

26 Floorplanning Write drv. Read logic Write drv. Read logic Write drv. Read logic Write drv. Read logic Row Decoder (128 rows) Write drv. Block Column Read logic Column Decoder Write drv. Read logic Write drv. Read logic Write drv. Read logic PreDecoder DIn Reg DOut Latch Timing Address Reg vdd gnd DIn WA RA CLK W R DOut Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 26

27 CAD Tools Support Digital Simulation SRAM verilog module (parameterized) Digital Simulator VERILOG Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 27

28 CAD Tools Support Logic Synthesis Template SRAM timing Design Kit.lib file Combined.lib file compilation Combined.db file Logic Synthesis Tool SYNOPSYS Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 28

29 CAD Tools Support Place & Route Template SRAM timing Design Kit TLF file Combined TLF file compilation Combined CTLF file Layout view Abstract view LEF file Place & Route Tool Silicon Ensemble Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 29

30 Experimental Results To prove the concept of the SRAM macro-cell scalability and to evaluate the performance of the proposed design we have fabricated two test chips: a 1Kwords X 9bits and a 4Kwords X 9bits. Both chips were tested and found functional. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 30

31 Submitted SRAM Chips 1 st Prototype Design: CERN_SRAM_1K Configuration: 1K x 9 bit Size: ~560µm x 1,300µm Area: ~0.73mm2 Density: ~12.6Kbit/mm 2 The Memory consists of 2 Blocks of 512 x 9bits. Each Block is composed by 4 Columns of 128 X 9bits. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 31

32 Submitted SRAM Chips 2 nd Prototype Design: CERN_SRAM_4K Configuration: 4K x 9 bit Size: ~1,850µm x 1,300µm Area: ~2.4mm2 Density: ~15.4Kbit/mm 2 The Memory consists of 8 Blocks of 512 x 9bits. Each Block is composed by 4 Columns of 128 X 9bits. Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 32

33 CERN SRAM test results Functional tests Max operating frequency: Simultaneous Read/Write operations: 2.5V Read access time: 2.5V Power dissipation: 15µW / 2.5V for simultaneous Read/Write operations on the same clock cycle 40MHz). Tests for process variations: Test chip: 4Kx9bit Differences in the access time < 1ns for: -3σ, 1.5σ, typ, +1.5σ, +3σ Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 33

34 Performance Tests Test Chip: 4Kword X 9bits Operation Frequency: 50MHz Power Supply: 2.5Volts Read Access Time: 7.5nsec Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 34

35 Performance Tests Test Chip: 4Kword X 9bits Power Supply: Volts Operation Frequency: 50MHz Test Patterns: All 1 s and all 0 s Checkerboard Marching 1 s Marching 0 s Power Supply Voltage (V) Schmoo Plot Pass Access Time (nsec) Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 35

36 Power dissipation CERN SRAM 4K Power dissipation of macro-cell Test chip: 4Kwords x 9bits Power Dissipation (uw) Standby Idle Read Write Read/Write Power Operation (µw/mhz) Standby 0.10 Idle 1.90 Read 7.40 Write Read/Write Clock frequency (MHz) Operation Standby Idle Read Write Read/Write Test Conditions Description No operation, addr. & data static. No operation, addr. & data changing in every clk cycle checkerboard data pattern checkerboard data pattern checkerboard data pattern Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 36

37 Irradiation Tests Ionizing Total Dose Conditions Source: X-rays. Step Irradiation: 1Mrad, 5Mrad, 10Mrad. Constant dose rate: 21.2 Krad/min. Annealing: ~25 o C. Under bias, in Standby mode during irradiation & annealing. Results No increase in power dissipation. No measurable degradation in performance. Single Event Upset: Under preparation Test chip: 4Kwords x 9bit Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 37

38 CERN SRAM popularity! ATLAS MCC chip Memory configuration: 128 x 27bit Detector: ATLAS PIXEL Lab: INFN Genova ALICE AMBRA chip Memory configuration: 16K X 9 bits Detector: ALICE Silicon Drift Det. Lab: INFN Torino ALICE CARLOS chip Memory configuration: 256 X 9 bits Detector: ALICE Silicon Drift Det. Lab: INFN Bologna LHCb SYNC chip Memory configuration: 256 X 9 bits Detector: LHCb muon system Lab: INFN Cagliary ATLAS SCAC chip Memory configuration: 128 x 18bit Detector: ATLAS tracker Lab: NEVIS Labs ATLAS DTMROC chip Memory configuration: 128 x 153 bits Detector: ATLAS TRT Lab: CERN CMS Kchip Memory configuration: 2K x 18 bits 128 x 18 bits Detector: CMS Preshower Lab: CERN Chips submitted and tested Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 38

39 Design Support Delivery of SRAM design library Half a day design CERN Designer configures his macrocell Review the macrocell design Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 39

40 Conclusions Design Status Design meets target specifications. Macrocell has been successfully used in a number of ASIC designs. Future Plans No further development is foreseen. Design Support Contact Person: Kostas.Kloukinas@CERN.ch Information on the Web Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 40

41 Floorplanning DIn Reg Write drv. Block DIn WA RA Timing Column CLK W R WA Row Decoder (128 rows) Address Reg Read logic vdd gnd DOut WorldLine Buffers & Block Decoders Column Decoder. DOut Latch Timing PreDecoder Address Reg DIn Reg Write drv. Write drv. Block DIn RA Column CLK W R Read logic Read logic vdd gnd DOut DOut Latch Row Decoder (128 rows) Sept 12, 2002 KLOUKINAS Kostas EP/CME-PS 41

Design Status of the CERN-SRAM macrocell

Design Status of the CERN-SRAM macrocell Design Status of the CERN-SRAM macrocell IBM 0.25 µm User Group Meeting Imperial College, London June 2002 KLOUKINAS Kostas EP/CME-PS CERN-SRAM specifications Scalable Design Configurable Bit organization

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

SRAM. Introduction. Digital IC

SRAM. Introduction. Digital IC SRAM Introduction Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access Memories Memory Arrays Memory Arrays Random Access Memory Serial Access Memory

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

Introduction to CMOS VLSI Design Lecture 13: SRAM

Introduction to CMOS VLSI Design Lecture 13: SRAM Introduction to CMOS VLSI Design Lecture 13: SRAM David Harris Harvey Mudd College Spring 2004 1 Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access

More information

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

CENG 4480 L09 Memory 2

CENG 4480 L09 Memory 2 CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Low-Power SRAM and ROM Memories

Low-Power SRAM and ROM Memories Low-Power SRAM and ROM Memories Jean-Marc Masgonty 1, Stefan Cserveny 1, Christian Piguet 1,2 1 CSEM, Neuchâtel, Switzerland 2 LAP-EPFL Lausanne, Switzerland Abstract. Memories are a main concern in low-power

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

Digital Integrated Circuits Lecture 13: SRAM

Digital Integrated Circuits Lecture 13: SRAM Digital Integrated Circuits Lecture 13: SRAM Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec13 cwliu@twins.ee.nctu.edu.tw 1 Outline Memory Arrays

More information

MCC-DSM Specifications

MCC-DSM Specifications DETECTOR CHIP BUMP ELECTRONIC CHIP MCC Design Group Receiver Issue: Revision: 0.1 Reference: ATLAS ID-xx Created: 30 September 2002 Last modified: 7 October 2002 03:18 Edited By: R. Beccherle and G. Darbo

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Semiconductor Memory Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

Mark Redekopp, All rights reserved. EE 352 Unit 10. Memory System Overview SRAM vs. DRAM DMA & Endian-ness

Mark Redekopp, All rights reserved. EE 352 Unit 10. Memory System Overview SRAM vs. DRAM DMA & Endian-ness EE 352 Unit 10 Memory System Overview SRAM vs. DRAM DMA & Endian-ness The Memory Wall Problem: The Memory Wall Processor speeds have been increasing much faster than memory access speeds (Memory technology

More information

CS250 VLSI Systems Design Lecture 9: Memory

CS250 VLSI Systems Design Lecture 9: Memory CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled

More information

! Serial Access Memories. ! Multiported SRAM ! 5T SRAM ! DRAM. ! Shift registers store and delay data. ! Simple design: cascade of registers

! Serial Access Memories. ! Multiported SRAM ! 5T SRAM ! DRAM. ! Shift registers store and delay data. ! Simple design: cascade of registers ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 28: November 16, 2016 RAM Core Pt 2 Outline! Serial Access Memories! Multiported SRAM! 5T SRAM! DRAM Penn ESE 370 Fall 2016

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 201 Memories Lecture 14: 1 Announcements HW6 will be posted tonight Lab 4b next week: Debug your design before the in-lab exercise Lecture 14: 2 Review:

More information

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read

More information

DCUF User Guide. G. Magazzu * A. Marchioro and P. Moreira CERN - EP/MIC, Geneva Switzerland. November 14, 2003 V.3.0 1

DCUF User Guide. G. Magazzu * A. Marchioro and P. Moreira CERN - EP/MIC, Geneva Switzerland. November 14, 2003 V.3.0 1 DCUF User Guide G. Magazzu * A. Marchioro and P. Moreira CERN - EP/MIC, Geneva Switzerland November 14, 2003 *Technical contact person e-mail: Guido.Magazzu@cern.ch V.3.0 1 IMPORTANT NOTICE Each DCUF contains

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

POWER ANALYSIS RESISTANT SRAM

POWER ANALYSIS RESISTANT SRAM POWER ANALYSIS RESISTANT ENGİN KONUR, TÜBİTAK-UEKAE, TURKEY, engin@uekae.tubitak.gov.tr YAMAN ÖZELÇİ, TÜBİTAK-UEKAE, TURKEY, yaman@uekae.tubitak.gov.tr EBRU ARIKAN, TÜBİTAK-UEKAE, TURKEY, ebru@uekae.tubitak.gov.tr

More information

The CCU25: a network oriented Communication and Control Unit integrated circuit in a 0.25 µm CMOS technology.

The CCU25: a network oriented Communication and Control Unit integrated circuit in a 0.25 µm CMOS technology. The 25: a network oriented Communication and Control Unit integrated circuit in a 0.25 µm CMOS technology. C. Paillard, C. Ljuslin, A. Marchioro CERN, 1211 Geneva 23, Switzerland Christian.Paillard@cern.ch

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Topic 21: Memory Technology

Topic 21: Memory Technology Topic 21: Memory Technology COS / ELE 375 Computer Architecture and Organization Princeton University Fall 2015 Prof. David August 1 Old Stuff Revisited Mercury Delay Line Memory Maurice Wilkes, in 1947,

More information

Topic 21: Memory Technology

Topic 21: Memory Technology Topic 21: Memory Technology COS / ELE 375 Computer Architecture and Organization Princeton University Fall 2015 Prof. David August 1 Old Stuff Revisited Mercury Delay Line Memory Maurice Wilkes, in 1947,

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructor: John Wawrzynek. Lecture 18 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructor: John Wawrzynek. Lecture 18 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructor: John Wawrzynek Lecture 18 Memory Blocks Multi-ported RAM Combining Memory blocks FIFOs FPGA memory blocks Memory block synthesis

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT)

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT) ATLAS Production Readiness Review ATLAS Muon TDC (AMT) 2 June 22@CERN Yasuo Arai (KEK) yasuo.arai@kek.jp http://atlas.kek.jp/tdc/prr/ Description of Circuit Fine Time Measurement : LVDS Receiver/Dribver

More information

CHAPTER 8. Array Subsystems. VLSI Design. Chih-Cheng Hsieh

CHAPTER 8. Array Subsystems. VLSI Design. Chih-Cheng Hsieh CHAPTER 8 Array Subsystems Outline 2 1. SRAM 2. DRAM 3. Read-Only Memory (ROM) 4. Serial Access Memory 5. Content-Addressable Memory 6. Programmable Logic Array Memory Arrays 3 Memory Arrays Random Access

More information

Spiral 2-9. Tri-State Gates Memories DMA

Spiral 2-9. Tri-State Gates Memories DMA 2-9.1 Spiral 2-9 Tri-State Gates Memories DMA 2-9.2 Learning Outcomes I understand how a tri-state works and the rules for using them to share a bus I understand how SRAM and DRAM cells perform reads and

More information

Learning Outcomes. Spiral 2-9. Typical Logic Gate TRI-STATE GATES

Learning Outcomes. Spiral 2-9. Typical Logic Gate TRI-STATE GATES 2-9.1 Learning Outcomes 2-9.2 Spiral 2-9 Tri-State Gates Memories DMA I understand how a tri-state works and the rules for using them to share a bus I understand how SRAM and DRAM cells perform reads and

More information

Macro in a Generic Logic Process with No Boosted Supplies

Macro in a Generic Logic Process with No Boosted Supplies A 700MHz 2T1C Embedded DRAM Macro in a Generic Logic Process with No Boosted Supplies Ki Chul Chun, Wei Zhang, Pulkit Jain, and Chris H. Kim University of Minnesota, Minneapolis, MN Outline Motivation

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5 1 Reminders Deadlines HW4 is due Tuesday 11/17 at 11:59 pm (email submission) CAD8 is due Saturday 11/21 at 11:59 pm Quiz 2 is on Wednesday

More information

DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER

DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER A Dissertation on DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER Submitted towards the partial fulfillment of requirement for the award of degree of Master of Technology in VLSI Design Submitted by Shivkaran

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

CpE 442. Memory System

CpE 442. Memory System CpE 442 Memory System CPE 442 memory.1 Outline of Today s Lecture Recap and Introduction (5 minutes) Memory System: the BIG Picture? (15 minutes) Memory Technology: SRAM and Register File (25 minutes)

More information

Memory System Overview. DMA & Endian-ness. Technology. Architectural. Problem: The Memory Wall

Memory System Overview. DMA & Endian-ness. Technology. Architectural. Problem: The Memory Wall The Memory Wall EE 357 Unit 13 Problem: The Memory Wall Processor speeds have been increasing much faster than memory access speeds (Memory technology targets density rather than speed) Large memories

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

Based on slides/material by. Topic 7-4. Memory and Array Circuits. Outline. Semiconductor Memory Classification

Based on slides/material by. Topic 7-4. Memory and Array Circuits. Outline. Semiconductor Memory Classification Based on slides/material by Topic 7 Memory and Array Circuits K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html Digital Integrated Circuits:

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

Background. memcellsf09. Single- and Double-port SRAM building blocks. w Allen Tanner built an SRAM/ROM generator program back in 2004

Background. memcellsf09. Single- and Double-port SRAM building blocks. w Allen Tanner built an SRAM/ROM generator program back in 2004 memcellsf09 Single- and Double-port SRAM building blocks Background w Allen Tanner built an SRAM/ROM generator program back in 2004 n the ROM seems to work fine there are fabricated examples that work

More information

Design and Characterization of an Embedded ASIC DRAM

Design and Characterization of an Embedded ASIC DRAM Design and Characterization of an Embedded ASIC DRAM Gershom Birk, Duncan G. Elliott, Bruce F. Cockburn Department of Electrical and Computer Engineering University of Alberta, Edmonton, Alberta, Canada

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

EECS150 - Digital Design Lecture 16 Memory 1

EECS150 - Digital Design Lecture 16 Memory 1 EECS150 - Digital Design Lecture 16 Memory 1 March 13, 2003 John Wawrzynek Spring 2003 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: Whenever a large collection of state elements is required. data &

More information

The DRAM Cell. EEC 581 Computer Architecture. Memory Hierarchy Design (III) 1T1C DRAM cell

The DRAM Cell. EEC 581 Computer Architecture. Memory Hierarchy Design (III) 1T1C DRAM cell EEC 581 Computer Architecture Memory Hierarchy Design (III) Department of Electrical Engineering and Computer Science Cleveland State University The DRAM Cell Word Line (Control) Bit Line (Information)

More information

The Phase-2 ATLAS ITk Pixel Upgrade

The Phase-2 ATLAS ITk Pixel Upgrade The Phase-2 ATLAS ITk Pixel Upgrade T. Flick (University of Wuppertal) - on behalf of the ATLAS collaboration 14th Topical Seminar on Innovative Particle and Radiation Detectors () 03.-06. October 2016

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

CS152 Computer Architecture and Engineering Lecture 16: Memory System

CS152 Computer Architecture and Engineering Lecture 16: Memory System CS152 Computer Architecture and Engineering Lecture 16: System March 15, 1995 Dave Patterson (patterson@cs) and Shing Kong (shing.kong@eng.sun.com) Slides available on http://http.cs.berkeley.edu/~patterson

More information

1. Designing a 64-word Content Addressable Memory Background

1. Designing a 64-word Content Addressable Memory Background UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Project Phase I Specification NTU IC541CA (Spring 2004) 1. Designing a 64-word Content Addressable

More information

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM 131,072-word 8-bit High speed CMOS Static RAM ADE-203-363A(Z) Rev. 1.0 Apr. 28, 1995 The Hitachi HM628128BI is a CMOS static RAM organized 131,072-word 8-bit. It realizes higher density, higher performance

More information

Data Cache Final Project Report ECE251: VLSI Systems Design UCI Spring, 2000

Data Cache Final Project Report ECE251: VLSI Systems Design UCI Spring, 2000 June 15, 2000 Data Cache Final Project Report ECE251: VLSI Systems Design UCI Spring, 2000 Jinfeng Liu Yi Deng ID: 65547013 (jinfengl@ece.uci.edu) ID: 57434732 (dengy@ece.uci.edu) Project Summary In this

More information

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition Chapter 6 Memory Circuits and Chapter rray Subsystems from CMOS VLSI Design by Weste and Harris, th Edition E E 80 Introduction to nalog and Digital VLSI Paul M. Furth New Mexico State University Static

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L22 S.1

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

A Single Ended SRAM cell with reduced Average Power and Delay

A Single Ended SRAM cell with reduced Average Power and Delay A Single Ended SRAM cell with reduced Average Power and Delay Kritika Dalal 1, Rajni 2 1M.tech scholar, Electronics and Communication Department, Deen Bandhu Chhotu Ram University of Science and Technology,

More information

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu.

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu. Memories Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted from Digital Design and Computer Architecture, David Money Harris & Sarah

More information

Modules and Front-End Electronics Developments for the ATLAS ITk Strips Upgrade

Modules and Front-End Electronics Developments for the ATLAS ITk Strips Upgrade Modules and Front-End Electronics Developments for the ATLAS ITk Strips Upgrade Carlos García Argos, on behalf of the ATLAS ITk Collaboration University of Freiburg International Conference on Technology

More information

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on on-chip Architecture Avinash Kodi, Ashwini Sarathy * and Ahmed Louri * Department of Electrical Engineering and

More information

CMS Tracker PLL Reference Manual

CMS Tracker PLL Reference Manual CMS Tracker PLL Reference Manual P. Placidi, A. Marchioro and P. Moreira CERN - EP/MIC, Geneva Switzerland July 2 Version 2. Clock and Trigger Distribution 3 ASIC architecture...4 I2C Interface 6 Tracker

More information

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a International Journal of Current Engineering and Technology ISSN 2277 4106 2013 INPRESSCO. All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Dual Port SRAM Rajeshwari

More information

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007 Minimizing Power Dissipation during Write Operation to Register Files Kimish Patel, Wonbok Lee, Massoud Pedram University of Southern California Los Angeles CA August 28 th, 2007 Introduction Outline Conditional

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Monika Solanki* Department of Electronics & Communication Engineering, MBM Engineering College, Jodhpur, Rajasthan Review Article

More information

SanDisk Flash Memory Controller. Partial Circuit Analysis

SanDisk Flash Memory Controller. Partial Circuit Analysis SanDisk 20-99-00121-1 Flash Memory Controller Partial Circuit Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Article begins on next page

Article begins on next page Title: A 19.4 nj/ 364K s/s in-memory random forest classifier in 6T SRAM array Archived version Accepted manuscript: the content is identical to the published paper, but without the final typesetting by

More information

ENEE 759H, Spring 2005 Memory Systems: Architecture and

ENEE 759H, Spring 2005 Memory Systems: Architecture and SLIDE, Memory Systems: DRAM Device Circuits and Architecture Credit where credit is due: Slides contain original artwork ( Jacob, Wang 005) Overview Processor Processor System Controller Memory Controller

More information

A Low Power SRAM Base on Novel Word-Line Decoding

A Low Power SRAM Base on Novel Word-Line Decoding Vol:, No:3, 008 A Low Power SRAM Base on Novel Word-Line Decoding Arash Azizi Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, Ali Barati, and Ali Sarchami International Science Index, Computer and

More information

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004 Analog CMOS IC Design Improved Initial Overdrive Sense-Amplifier For Low-Voltage DRAMS Esayas Naizghi April 30, 2004 Overview 1. Introduction 2. Goals and Objectives 3. Gate Sizing Theory 4. DRAM Introduction

More information

Infineon HYB39S128160CT M SDRAM Circuit Analysis

Infineon HYB39S128160CT M SDRAM Circuit Analysis September 8, 2004 Infineon HYB39S128160CT-7.5 128M SDRAM Circuit Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Summary Sheet... Page 13 Chip Description... Page 16

More information

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology 1 Sreerama Reddy G M, 2 P Chandrasekhara Reddy Abstract-This paper explores the tradeoffs that are involved in the design of SRAM.

More information

Microelectronics User Group Meeting. TWEPP 2010, Aachen, Germany 22/9/2010

Microelectronics User Group Meeting. TWEPP 2010, Aachen, Germany 22/9/2010 Microelectronics User Group Meeting TWEPP 2010, Aachen, Germany 22/9/2010 Agenda 16:45 17:00 ASIC design tools and foundry services at CERN by Kostas Kloukinas (CERN) 17:00 17:15 Update on the Design Implementation

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Prototype of SRAM by Sergey Kononov, et al.

Prototype of SRAM by Sergey Kononov, et al. Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant

More information

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Latches SEU en techno IBM 130nm pour SLHC/ATLAS CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Outline Introduction Description of the DICE latch Different implemented layouts for the

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

ISSCC 2001 / SESSION 9 / INTEGRATED MULTIMEDIA PROCESSORS / 9.2

ISSCC 2001 / SESSION 9 / INTEGRATED MULTIMEDIA PROCESSORS / 9.2 ISSCC 2001 / SESSION 9 / INTEGRATED MULTIMEDIA PROCESSORS / 9.2 9.2 A 80/20MHz 160mW Multimedia Processor integrated with Embedded DRAM MPEG-4 Accelerator and 3D Rendering Engine for Mobile Applications

More information

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán Nevis ADC Design Columbia University June 4, 2014 Outline The goals of the project Introductory remarks The road toward the design Components developed in Nevis09, Nevis10 and Nevis12 Nevis13 chip Architecture

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA

Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA Vlad-Mihai PLACINTA 1,2, Lucian Nicolae COJOCARIU 1, Florin MACIUC 1 1. Horia Hulubei National Institute for R&D in Physics and

More information

Memory in Digital Systems

Memory in Digital Systems OVERVIEW: MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foreground ) Clockless latches e.g., SR

More information

Quad Module Hybrid Development for the ATLAS Pixel Layer Upgrade

Quad Module Hybrid Development for the ATLAS Pixel Layer Upgrade Quad Module Hybrid Development for the ATLAS Pixel Layer Upgrade Lawrence Berkeley National Lab E-mail: kedunne@lbl.gov Maurice Garcia-Sciveres, Timon Heim Lawrence Berkeley National Lab, Berkeley, USA

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information