EE324 Lab 6 Elevator Controller Page 1/6

Size: px
Start display at page:

Download "EE324 Lab 6 Elevator Controller Page 1/6"

Transcription

1 EE324 Lab 6 Elevator Controller Page 1/6 Overview This lab involves the creation of a controller for a two-floor elevator simulator. A circuit that uses Digilab s seven-segment displays to simulate elevator behavior will be provided (see figure below). You must design and implement an elevator controller that uses the simulator circuit to create an elevator with typical features. Background The elevator simulator requires 10 external inputs (see table below). Two of these inputs model user inputs (push buttons), and eight model sensor inputs. By asserting sensor inputs at times when they would normally be expected, normal elevator operation can be simulated. By failing to assert the sensor inputs, broken sensors can be simulated, and error conditions can be simulated as well. Simulates elevator car moving up and down Simulates inner door at upper level Simulates outer door at upper level Simulates walls Simulates door at lower level Simulates inner door at lower level Lower level doors closed Lower level doors open Elevator in motion Upper level doors open Seven-segment display elevator simulator Four examples of elevator simulator states The table on the right defines the inputs required for a simple elevator. The button inputs arise from the exterior call buttons on either floor, and from the interior go buttons inside the cabin. Note, however, that the AU and GU signals perform similar functions; if either is pressed, the elevator goes up. Similarly, pressing either the GL or AL buttons will cause the elevator to go down. This observation can be exploited to remove two signals from the controller; button up (BU) can be formed from (AU or GU), and button down (BD) can be formed from (AL or GL). Note that the following block diagram uses the BU and BL signals. Elevator Controller Inputs Source Description Signal Interior buttons Go upper level GU Go lower level GL Exterior buttons Call upper level AU Call lower level AL Door sensors External upper door open UO External lower door open LO Internal door open IO External upper door closed UC External lower door closed LC Internal door closed IC Location sensors At upper level LU At lower level LD Timer Timer expired T

2 EE324 Lab 6 Elevator Controller Page 2/6 The controller does not need to latch the input signals, so that the BU and BL inputs are considered only when then elevator is waiting at the top or bottom floor with closed doors. The eight sensor inputs would normally arise from physical sensors within the elevator system the elevator simulator assumes these sensors are present and functional. To provide more realistic test cases, eight inputs have been added to deactivate each sensor so that failure conditions can be simulated. The timerexpired input(t) arises from an internal counter that serves as an interval timer. The controller must produce the output signals shown below. The outputs should be produced in accordance with the following general requirements of elevator operation. A block diagram for the elevator controller is shown on the right below. Elevator Controller Outputs Function Description Signal Main motor drive Main motor up MU Main motor down MD Door actuators Close upper outer doors CU Close lower outer doors CL Close inner door Open upper outer door OU Open lower outer door OL Open inner door Timer Timer enable TE General Requirements The following discussion does not precisely specify all requirements; rather, only the general requirements are presented. It is assumed that good engineering judgment and a general knowledge of elevator function can be applied to fill in the blanks to arrive at a final design specification. T UO UC LO LC IO IC LU LD BU BL CLK RST CL CU ET MD MU OL OU Elevator Controller Block Diagram The elevator must respond to a call request at the upper or lower floor by: proceeding to the floor if not already there; opening the doors for a sufficient amount of time; closing the doors, and then waiting for an internal button press. A timer module is provided that to generate sufficient wait times (accessed via the Timer and Timer enable signals). The timer function should be enabled only when it is required, and left inactive at all other times. When an internal button is pressed, the elevator should proceed to the requested floor. It the elevator is already at the requested floor, both doors (internal and external) should simply open. If both the BU and BL inputs are asserted simultaneously while the elevator is not in motion, then assume the elevator is already at the requested floor. Note that the elevator simulator will show some error conditions if you make an improper design assumption (hint: if the elevator car flashes, keep thinking!). Lab procedure Obtain a copy of the elevator simulator from the lab7 subdirectory at the class distribution site (which is \\cse\home$ under the ee324 directory, with filename elev_asg). The best way to obtain a copy is to

3 EE324 Lab 6 Elevator Controller Page 3/6 open the simulator project from within the Xilinx project manager, and then choose file copy project, with your own directory as the destination. Prepare an accurate and complete state diagram for the elevator controller. Then design and implement a state machine for the controller using the Xilinx VHDL tool. Your VHDL code must contain one single process statement that does nothing but implement the state register; no other restrictions will be placed on your coding style. (The Xilinx state editor does not produce code that uses this state register process style, which effectively means that you must write your own VHDL code.) Fully test your design both in the simulator and in the Xilinx FPGA. When you are satisfied that it works correctly, print copies of your VHDL source code, top-level schematic, and simulation outputs. Include these documents in a brief lab write-up (no more that one page!) together with your state diagram. Input pins locations have been assigned as shown in the table on the right. Outputs are pre-assigned to drive the seven-segment LED display. The global asynchronous reset should drive the state machine to the state of waiting at the upper level with closed doors. The global asynchronous reset is also connected to the provided elevator simulator circuit. Sensors Signal Function Pin DLU Disable sensor 0 for broken sensor SW8 DLD Disable sensor 0 for broken sensor SW7 DUC Disable sensor 0 for broken sensor SW6 DUO Disable sensor 0 for broken sensor SW5 DIC Disable sensor 0 for broken sensor SW4 DIO Disable sensor 0 for broken sensor SW3 DLC Disable sensor 0 for broken sensor SW2 DLO Disable sensor 0 for broken sensor SW1 Buttons BU Button Up BTN4 BL Button Down BTN3 Reset System reset (asynchronous) BTN1 The write-up for this lab should include a paragraph explaining the design requirements and design intent, and a second paragraph explaining the work that was completed. Note that the first paragraph can refer to this document a controller consistent with the requirements of the lab7 document was designed. Somewhere in these first two paragraphs, you should include information about the tool sets that were used, the status of the design at the time the document is written, and any special circumstances or design features (the door open sensor does not work because ). In a third and final paragraph, provide a brief technical explanation of the circuit that you designed. Use references to your state diagram and comments in your VHDL code to describe the circuit, instead of wordy explanations. Normally, in a technical description like this, a table that defined all inputs and outputs to and from the controller would be included. Since these tables were provided to you, you can simply refer to them. But you should include particular information about the input and output signals that were not included in the provided tables (e.g., any input debouncing or output filtering operations). Finally, include a space for the TA to sign to indicate that the design was successfully demonstrated. It will be assumed that reports submitted without such a signature reflect designs that were not completed.

4 EE324 Lab 6 Elevator Controller Page 4/6 Discussion This state machine design is larger than most that you have attempted so far. It s important to attack the design in a methodical way, building understanding of the design as you proceed. Remember that sketching numerous iterations of a state machine is typical, and that the act of sketching a state machine is design. When designing your state machine, you must (as always) first decide which behaviors require a state, and which do not. For example, you will probably want to consider assigning states to activities such as calling from upper level, calling from lower level, opening doors at upper level, opening doors at lower level, waiting at upper level with doors open, etc. You will probably not assign states to such activities as pressing button upper level (this is a user activity, not a machine state), stop main motor (this is an output from a state, not a state in its own right), or doors closed lower level (this is an input to a state). Use your knowledge of elevator function together with the available inputs and outputs to define a complete list of states. When you have decided on a list of states, place all the states in a diagram and begin to add control flow (inputs) and outputs from various states. Continually check your design against requirements, and be sure that all inputs and all outputs are accounted for. Good luck!

5 EE324 Lab 7 Elevator controller Page 5/6 State machine and I/O descriptions Inputs: Buttons: - inner - Go Upper level = GU - Go Lower level = GL - outer - Appeal Upper level = AU - Appeal Lower level = AL Sensors: - doors - external Upper door Open = UO - external Lower door Open = LO - Inner door Open = IO - external Upper door Closed = UC - external Lower door Closed = LC - Inner door Closed = IC - level arrived - Level Up arrived = LU - Level Down arrived = LD - timer - Timer out = T States: Waiting at Upper level Closed doors Elevator Going Down Opening Doors at Lower level Waiting at Lower level Open doors Closing Doors at Lower level Waiting at Lower level Closed doors Elevator Going Up Opening Doors at Upper level Waiting at Upper level Open doors Closing Doors at Upper level = WUC = EGD = ODL = WLO = CDL = WLC = EGU = ODU = WUO = CDU Outputs: Main motor: - Go Up = MU - Go Down = MD Doors: - Close Upper door = CU - Close Lower door = CL - Close Inner door = - Open Upper door = OU - Open Lower door = OL - Open Inner door = Timer: - Enable Timer = ET (timer is reset all the time when ET=0) Hint: because inputs GU and AU will appear every time as (GU + AU) = BU or (GU + AU) = GU AU = BU, functions BU and BU will be used instead of (GU + AU) and (GU + AU) = GU AU. Similarly, inputs GL and AL will be replaced by functions: BL = ( GL + AL ) and BL = ( GL + AL ) = GL AL

6 EE324 Lab 7 Elevator controller Page 6/6 The (incomplete) State Diagram WUC EGD MD CU CDU ODL OL ET WUO WLO ET OU ODU CDL CL MU EGU WLC

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 7: Basic Latches EE 1315: DIGITAL LOGIC LAB EE Dept, UMD Latches are primitive memory elements of sequential circuits that are used in building simple noise filtering circuits and flip-flops.

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Read through each section completely before starting so that you have the benefit of all the directions. Put on a grounded wrist strap (cf. Getting Started) before touching

More information

Experiment # 4 Introduction to FPGAs - Detour Signal Lab

Experiment # 4 Introduction to FPGAs - Detour Signal Lab 1. Synopsis: Experiment # 4 Introduction to FPGAs - Detour Signal Lab This lab introduces the use of Field Programmable Gate Arrays (or FPGAs, for short) for prototyping of digital circuits. Through the

More information

Introduction to Nexys 2 board - Detour Signal Lab

Introduction to Nexys 2 board - Detour Signal Lab 1. Synopsis: Introduction to Nexys 2 board - This lab introduces the use of Field Programmable Gate Arrays (FPGA). This lab introduces the Digilent Nexys 2 board and demonstrate FPGA design flow through

More information

Exp#8: Designing a Programmable Sequence Detector

Exp#8: Designing a Programmable Sequence Detector Exp#8: Designing a Programmable Sequence Detector Objectives Learning how to partition a system into data-path and control unit. Integrating Schematics and Verilog code together Overview In this lab you

More information

A B A+B

A B A+B ECE 25 Lab 2 One-bit adder Design Introduction The goal of this lab is to design a one-bit adder using programmable logic on the BASYS board. Due to the limitations of the chips we have in stock, we need

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 1: Logic building blocks The main objective of this experiment is to let you familiarize with the lab equipment and learn about the operation of the

More information

Lab 6 Debugging. Objective. Introduction. Prelab

Lab 6 Debugging. Objective. Introduction. Prelab UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 6 Debugging Objective You will explore several techniques for debugging a digital

More information

Chapter Operation Pinout Operation 35

Chapter Operation Pinout Operation 35 68000 Operation 35 Chapter 6 68000 Operation 6-1. 68000 Pinout We will do no construction in this chapter; instead, we will take a detailed look at the individual pins of the 68000 and what they do. Fig.

More information

ECE 4305 Computer Architecture Lab #1

ECE 4305 Computer Architecture Lab #1 ECE 4305 Computer Architecture Lab #1 The objective of this lab is for students to familiarize with the FPGA prototyping system board (Nexys-2) and the Xilinx software development environment that will

More information

EE 214 Lab 7 Computer-Based Minimization Tools Page 1/8

EE 214 Lab 7 Computer-Based Minimization Tools Page 1/8 EE 214 Lab 7 Computer-Based Minimization Tools Page 1/8 Overview NAME: SECTION: Logic functions can be described using behavioral descriptions or structural descriptions. Behavioral descriptions, such

More information

Subroutines and the Stack

Subroutines and the Stack 3 31 Objectives: A subroutine is a reusable program module A main program can call or jump to the subroutine one or more times The stack is used in several ways when subroutines are called In this lab

More information

CSCE 312 Lab manual. Instructor: Dr. Ki HwanYum. Prepared by. Dr. Rabi Mahapatra. Suneil Mohan & Amitava Biswas. Fall 2016

CSCE 312 Lab manual. Instructor: Dr. Ki HwanYum. Prepared by. Dr. Rabi Mahapatra. Suneil Mohan & Amitava Biswas. Fall 2016 CSCE 312 Lab manual Lab-3 - Sequential logic design Instructor: Dr. Ki HwanYum Prepared by Dr. Rabi Mahapatra. Suneil Mohan & Amitava Biswas Fall 2016 Department of Computer Science & Engineering Texas

More information

Microprogram Control Unit Design: Merging Two Arrays

Microprogram Control Unit Design: Merging Two Arrays Microprogram Control Unit Design: Merging Two Arrays 1. Synopsis: The purpose of this lab is to implement a state machine by using a microprogram control unit design. Microprograming allows flexibility

More information

CSCE 312 Lab 3: Sequential Logic Design ([Vahid] Ch. 3) Instructor: Dr. Hyunyoung Lee Spring 2013

CSCE 312 Lab 3: Sequential Logic Design ([Vahid] Ch. 3) Instructor: Dr. Hyunyoung Lee Spring 2013 CSCE 312 Lab 3: Sequential Logic Design ([Vahid] Ch. 3) Instructor: Dr. Hyunyoung Lee Spring 2013 In this chapter, we focus on the design of sequential digital circuits for real-life applications. Sequential

More information

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE. Board-Data Processing VHDL Exercises Exercise 1: Basics of VHDL Programming Stages of the Development process using FPGA s in Xilinx ISE. Basics of VHDL VHDL (Very High Speed IC Hardware description Language)

More information

Introduction. Overview. Top-level module. EE108a Lab 3: Bike light

Introduction. Overview. Top-level module. EE108a Lab 3: Bike light Version 2.0 David Black-Schaffer Version 2.2 David Black-Schaffer Introduction In lab 3 you are going to get your first taste of sequential logic by building a system of finite state machines, timers,

More information

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr. EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board (FPGA Interfacing) Teacher: Dr. Liang Liu v.1.0.0 1 Abstract This document describes the basic behavior

More information

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD 1) Sequential circuit design often starts with a problem statement tat can be realized in the form of a state diagram or state table a) Xilinx

More information

Design Problem 3 Solutions

Design Problem 3 Solutions CSE 260 Digital Computers: Organization and Logical Design Jon Turner Design Problem 3 Solutions In this problem, you are to design, simulate and implement a sequential pattern spotter, using VHDL. This

More information

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

Lab 1: Introduction to Verilog HDL and the Xilinx ISE EE 231-1 - Fall 2016 Lab 1: Introduction to Verilog HDL and the Xilinx ISE Introduction In this lab simple circuits will be designed by programming the field-programmable gate array (FPGA). At the end

More information

Topics. Interfacing chips

Topics. Interfacing chips 8086 Interfacing ICs 2 Topics Interfacing chips Programmable Communication Interface PCI (8251) Programmable Interval Timer (8253) Programmable Peripheral Interfacing - PPI (8255) Programmable DMA controller

More information

COMPUTER ENGINEERING PROGRAM

COMPUTER ENGINEERING PROGRAM COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 169 Experiment 1 Introduction to Basic Logic and the Digilent Development Board Learning Objectives 1. CPE 169 Hardware and Software

More information

Lab 2: Barrel Shifter Design

Lab 2: Barrel Shifter Design EGR 400 A Advanced Digital System Design Using FPGAs Lab 2: Barrel Shifter Design Prepared for: Dr. Foist Christopher Parisi College of Engineering California Baptist University 10/05/12 Introduction The

More information

Vivado Walkthrough ECGR Fall 2015

Vivado Walkthrough ECGR Fall 2015 ECGR 2181 - Vivado Walkthrough 1 Vivado Walkthrough ECGR 2181 - Fall 2015 Intro In this walkthrough we re going to go through the process of creating a project, adding sources, writing vhdl, simulating

More information

Programmable Logic Design Techniques I

Programmable Logic Design Techniques I PHY 440 Lab14: Programmable Logic Design Techniques I The design of digital circuits is a multi-step process. It starts with specifications describing what the circuit must do. Defining what a circuit

More information

Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11. Ver. 1.0web

Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11. Ver. 1.0web For XILINX WebPack Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11 Ver. 1.0web 1 Table of Contents 1.0 INTRODUCTION...3 2.0 GENERAL DESCRIPTION...5 3.0 BRIEF DESCRIPTION Of PLDT-3 BOARD...6

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks]

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks] Digital Systems EEE4084F 2017-05-10 FPGA Introduction Verilog and Xilinx ISE [30 Marks] Background This practical is divided into two parts. The first is a tutorial that shows you how to set up a new FPGA

More information

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1 University of Hawaii EE 361L Getting Started with Spartan 3E Digilent Basys2 Board Lab 4.1 I. Test Basys2 Board Attach the Basys2 board to the PC or laptop with the USB connector. Make sure the blue jumper

More information

Xilinx Project Navigator Reference Guide

Xilinx Project Navigator Reference Guide 31 July 2003 Author: David M. Sendek Xilinx Project Navigator Reference Guide Background: This guide provides you with step-by-step procedures in using the Xilinx Project Navigator to perform the following:

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

Xilinx ChipScope ICON/VIO/ILA Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial Xilinx ChipScope ICON/VIO/ILA Tutorial The Xilinx ChipScope tools package has several modules that you can add to your Verilog design to capture input and output directly from the FPGA hardware. These

More information

INTRODUCTION TO DE2 SYSTEM INTERFACES

INTRODUCTION TO DE2 SYSTEM INTERFACES EECS:6660:0xxField Programmable Gate Arrays s08l5a.fm - 1 Lab Assignment #5 INTRODUCTION TO DE2 SYSTEM INTERFACES 1. OBJECTIVES - Becoming familiar with the system interface to seven segment LED displays

More information

Lab #2: Building the System

Lab #2: Building the System Lab #: Building the System Goal: In this second lab exercise, you will design and build a minimal microprocessor system, consisting of the processor, an EPROM chip for the program, necessary logic chips

More information

Revision: January 28, Henley Court Pullman, WA (509) Voice and Fax

Revision: January 28, Henley Court Pullman, WA (509) Voice and Fax Lab Project 2: Board Verification and Basic Logic Circuits Revision: January 28, 2012 1300 Henley Court Pullman, WA 99163 (509) 334 6306 Voice and Fax STUDENT I am submitting my own work, and I understand

More information

Addendum # 2 Guidelines to the Design Project

Addendum # 2 Guidelines to the Design Project 1. Motivation: Addendum # 2 Guidelines to the Design Project Throughout the semester, you have done various lab exercises and built simple circuits. In the process, you have learnt many different techniques

More information

IMPLEMENTING COUNTERS

IMPLEMENTING COUNTERS EECS:6660:0xxField Programmable Gate Arrays s11l1_fpga.fm - 1 Lab Assignment #1 Due Thursday, March 31 2011 IMPLEMENTING COUNTERS 1. OBJECTIVES - learning the VHDL implementation process using Language

More information

Introduction to VHDL Design on Quartus II and DE2 Board

Introduction to VHDL Design on Quartus II and DE2 Board ECP3116 Digital Computer Design Lab Experiment Duration: 3 hours Introduction to VHDL Design on Quartus II and DE2 Board Objective To learn how to create projects using Quartus II, design circuits and

More information

EE209 Lab Change We Can Believe In

EE209 Lab Change We Can Believe In EE209 Lab Change We Can Believe In Introduction In this lab you will complete the control unit and datapath for a vending machine change collector and dispenser. This lab will build on the vending machine

More information

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA For your report: The problem written in English The flowchart or function table to solve the problem if it is necessary The design

More information

475 Electronics for physicists Introduction to FPGA programming

475 Electronics for physicists Introduction to FPGA programming 475 Electronics for physicists Introduction to FPGA programming Andrej Seljak, Gary Varner Department of Physics University of Hawaii at Manoa November 18, 2015 Abstract Digital circuits based on binary

More information

LABORATORY # 6 * L A B M A N U A L. Datapath Components - Adders

LABORATORY # 6 * L A B M A N U A L. Datapath Components - Adders Department of Electrical Engineering University of California Riverside Laboratory #6 EE 120 A LABORATORY # 6 * L A B M A N U A L Datapath Components - Adders * EE and CE students must attempt also to

More information

1. Synopsis: 2. Merging Algorithm:

1. Synopsis: 2. Merging Algorithm: Microprogram Control Unit Design: Merging Two Arrays 1. Synopsis: The purpose of this lab is to implement a state machine by using a microprogram control unit design. Microprograming allows flexibility

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil Khatri TA: Monther Abusultan (Lab exercises created by A. Targhetta / P. Gratz)

More information

COMPUTER ENGINEERING PROGRAM

COMPUTER ENGINEERING PROGRAM COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 169 Experiment 1 Introduction to Basic Logic and the Digilent Development Board Learning Objectives 1. CPE 169 Hardware and Software

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim ENGN 1630 Fall 2018 Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim You will use the Xilinx ISim simulation software for the required timing simulation of the XC9572XL CPLD programmable

More information

Owner s Manual. 1833, 1834, 1835, 1837 PC Programmable Telephone Entry and Access Control Systems

Owner s Manual. 1833, 1834, 1835, 1837 PC Programmable Telephone Entry and Access Control Systems Owner s Manual 1833, 1834, 1835, 1837 PC Programmable Telephone Entry and Access Control Systems DoorKing, Inc. 120 Glasgow Avenue Inglewood, California 90301 U.S.A. Phone: 310-645-0023 Fax: 310-641-1586

More information

General FSM design procedure

General FSM design procedure Sequential logic examples Basic design approach: a 4-step design process Hardware description languages and finite state machines Implementation examples and case studies finite-string pattern recognizer

More information

Digilab 2 Reference Manual

Digilab 2 Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 Reference Manual Revision: November 19, 2001 Overview The Digilab 2 (D2) development board

More information

APPLICATION NOTE DOOR CANBUS STRUCTURE. Glossary. Main principles of CAN network

APPLICATION NOTE DOOR CANBUS STRUCTURE. Glossary. Main principles of CAN network APPLICATION NOTE 1 Glossary DOOR CANBUS STRUCTURE Node: It is a physical module connected on the network. The node has a position on the door and this position is defined by the node location. Activ8 indoor

More information

8032 MCU + Soft Modules. c = rcvdata; // get the keyboard scan code

8032 MCU + Soft Modules. c = rcvdata; // get the keyboard scan code 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 { 0x25, 0x66 }, // "4" { 0x2E, 0x6D }, // "5" { 0x36, 0x7D }, // "6" { 0x3D, 0x07 }, // "7" { 0x3E, 0x7F }, // "8" { 0x46,

More information

How to use the IP generator from Xilinx to instantiate IP cores

How to use the IP generator from Xilinx to instantiate IP cores ÁÌ ¹ ÁÒØÖÓ ÙØ ÓÒ ØÓ ËØÖÙØÙÖ ÎÄËÁ Ò ÐÐ ¾¼½ µ ÓÙÖ ÔÖÓ Ø Úº½º¼º¼ 1 Introduction This document describes the course projects provided in EITF35 Introduction to Structured VLSI Design conducted at EIT, LTH.

More information

Digital Design and Computer Architecture

Digital Design and Computer Architecture Digital Design and Computer Architecture Introduction Lab 4: Thunderbird Turn Signal In this lab, you will design a finite state machine in SystemVerilog to control the taillights of a 1965 Ford Thunderbird

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS 150 Spring 2000 Lab #8: EPROMs This lab is to be completed with a project partner.

More information

General FSM design procedure

General FSM design procedure Sequential logic examples Basic design approach: a 4-step design process Hardware description languages and finite state machines Implementation examples and case studies finite-string pattern recognizer

More information

CS232 VHDL Lecture. Types

CS232 VHDL Lecture. Types CS232 VHDL Lecture VHSIC Hardware Description Language [VHDL] is a language used to define and describe the behavior of digital circuits. Unlike most other programming languages, VHDL is explicitly parallel.

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

Digilab 2 XL Reference Manual

Digilab 2 XL Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 XL Reference Manual Revision: May 7, 2002 Overview The Digilab 2 XL (D2XL) development board

More information

Digital Electronics & Computer Engineering (E85)

Digital Electronics & Computer Engineering (E85) Digital Electronics & Computer Engineering (E85) Lab 4: Thunderbird Turn Signal Introduction In this lab, you will design a finite state machine to control the taillights of a 1965 Ford Thunderbird 1 and

More information

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port Digilent Digilab 2 Reference Manual www.digilentinc.com Revision: 5/7/02 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilab 2 development board (the D2) features the

More information

Din Rail 8 Input / 8 Output Module

Din Rail 8 Input / 8 Output Module Din Rail 8 Input / 8 Output Module IO88B02KNX Product Handbook Product: Din Rail 8 Input / 8 Output Module Order Code: IO88B02KNX 1/32 INDEX 1. General Introduction... 3 2. Product and functional overview...

More information

Din Rail Universal Module 8 inputs / 8 outputs IO88E01KNX

Din Rail Universal Module 8 inputs / 8 outputs IO88E01KNX Din Rail Universal Module 8 inputs / 8 outputs IO88E01KNX Product Handbook Product Description: Din Rail Universal 8 in / 8 out Module Order Code: IO88E01KNX Document Version: 1.1 Date: 22/07/2011 1/64

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

Digilab 2E Reference Manual

Digilab 2E Reference Manual Digilent 2E System Board Reference Manual www.digilentinc.com Revision: February 8, 2005 246 East Main Pullman, WA 99163 (509) 334 6306 Voice and Fax Digilab 2E Reference Manual Overview The Digilab 2E

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

Lab 6: Intro to FPGAs

Lab 6: Intro to FPGAs Lab 6: Intro to FPGAs UC Davis Physics 116B Rev 2/22/2018 There s a saying when dealing with complex electronic systems: If you can make the LED blink, you re 90% of the way there., so in this lab you

More information

Lab Manual for COE 203: Digital Design Lab

Lab Manual for COE 203: Digital Design Lab Lab Manual for COE 203: Digital Design Lab 1 Table of Contents 1. Prototyping of Logic Circuits using Discrete Components...3 2. Prototyping of Logic Circuits using EEPROMs...9 3. Introduction to FPGA

More information

Introduction. About this tutorial. How to use this tutorial

Introduction. About this tutorial. How to use this tutorial Basic Entry & not About this tutorial This tutorial consists of an introduction to creating simple circuits on an FPGA using a variety of methods. There are two ways to create the circuit: using or by

More information

Lab Exercise 1 Design Flow and VHDL

Lab Exercise 1 Design Flow and VHDL Lab Exercise 1 Design Flow and VHDL INF3430/4431 Autumn 2016 Version 1.2/17.08.2016 Note! Before you start, read this: Mandatory assignments and other hand-ins at the Department of Informatics at http://www.mn.uio.no/ifi/english/studies/admin/mandatoryassignments/index.html.

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design 1 In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

Locktronics PICmicro getting started guide

Locktronics PICmicro getting started guide Page 2 getting started guide What you need to follow this course 2 Using the built-in programs 3 Create your own programs 4 Using Flowcode - your first program 5 A second program 7 A third program 8 Other

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due January 31, 2008 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

EITF35 - Introduction to Structured VLSI Design (Fall 2017) Course projects

EITF35 - Introduction to Structured VLSI Design (Fall 2017) Course projects 1 EITF35 - Introduction to Structured VLSI Design (Fall 2017) Course projects v.1.0.0 1 Introduction This document describes the course projects provided in EITF35 Introduction to Structured VLSI Design

More information

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview CPE/EE 421/521 Fall 2004 Chapter 4 The 68000 CPU Hardware Model Dr. Rhonda Kay Gaede UAH Fall 2004 1 The 68000 CPU Hardware Model - Overview 68000 interface Timing diagram Minimal configuration using the

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Don t expect to be able to write and debug your code during the lab session.

Don t expect to be able to write and debug your code during the lab session. EECS150 Spring 2002 Lab 4 Verilog Simulation Mapping UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping

More information

Laboratory Memory Components

Laboratory Memory Components Laboratory 3 3. Memory Components 3.1 Objectives Design, implement and test Register File Read only Memories ROMs Random Access Memories RAMs Familiarize the students with Xilinx ISE WebPack Xilinx Synthesis

More information

To design a 4-bit ALU To experimentally check the operation of the ALU

To design a 4-bit ALU To experimentally check the operation of the ALU 1 Experiment # 11 Design and Implementation of a 4 - bit ALU Objectives: The objectives of this lab are: To design a 4-bit ALU To experimentally check the operation of the ALU Overview An Arithmetic Logic

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

MAIN CONTROL BOARD USER MANUAL V - 3.0

MAIN CONTROL BOARD USER MANUAL V - 3.0 MAIN CONTROL BOARD USER MANUAL V - 3.0 INDEX SECTION 1- WARNINGS...2 SECTION 2- ELECTRICAL SPECIFICATIONS...3 SECTION 3- TECHNICAL SPECIFICATIONS...4 SECTION 4- EXPLANATION OF TERMINALS...5 SECTION 5-

More information

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. This lab is based on: Xilinx Project Navigator, Release Version 14.6 Digilent Adept System Rev 2.7, Runtime Rev 2.16 Digilent

More information

SIENNA Professional Operating Instructions V5.1

SIENNA Professional Operating Instructions V5.1 SIENNA Professional Operating Instructions V5.1 Switching on the future a Table of contents 1. Introduction... 2 1.1 Commands... 2 1.2 Switching and control... 3 2. Context menu... 4 2.1 Context menu Apartment...

More information

To practice combinational logic on Logisim and Xilinx ISE tools. ...

To practice combinational logic on Logisim and Xilinx ISE tools. ... ENGG1203: Introduction to Electrical and Electronic Engineering Second Semester, 2017 18 Lab 1 Objective: To practice combinational logic on Logisim and Xilinx ISE tools. 1 Find your lab partner You will

More information

Elec 326: Digital Logic Design

Elec 326: Digital Logic Design Elec 326: Digital Logic Design Project Requirements Fall 2005 For this project you will design and test a three-digit binary-coded-decimal (BCD) adder capable of adding positive and negative BCD numbers.

More information

Lab 4: Register File and Memory 50 points Instructor: Yifeng Zhu Due: One week

Lab 4: Register File and Memory 50 points Instructor: Yifeng Zhu Due: One week Objectives: Lab 4: Register File and Memory 50 points Instructor: Yifeng Zhu Due: One week Build Register File Build Instruction Memory and Data Memory 1. Overview A combinational circuit neither contains

More information

UNIT - II PERIPHERAL INTERFACING WITH 8085

UNIT - II PERIPHERAL INTERFACING WITH 8085 UNIT - II PERIPHERAL INTERFACING WITH 8085 Peripheral Interfacing is considered to be a main part of Microprocessor, as it is the only way to interact with the external world. The interfacing happens with

More information

Using a Guard Locking Interlock Switch and Light Curtains with DeviceNet Guard I/O and a GuardLogix Controller

Using a Guard Locking Interlock Switch and Light Curtains with DeviceNet Guard I/O and a GuardLogix Controller Safety Application Example Using a Guard Locking Interlock Switch and Light Curtains with DeviceNet Guard I/O and a GuardLogix Controller Safety Rating: Category 3, according to EN954-1 Introduction...

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

The Alarm System: The alarm system to be designed has the following inputs.

The Alarm System: The alarm system to be designed has the following inputs. 1 Introduction In this lab you will use the Xilinx CAD tools to complete the design of a simple home alarm system containing sensors for that indicate whether the Windows, Door, and Garage are secure.

More information

EECS 373 Midterm 2 Fall 2018

EECS 373 Midterm 2 Fall 2018 EECS 373 Midterm 2 Fall 2018 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Getting familiar with DE2 board installation, properties, usage.

More information

CPE/EE 421 Microcomputers

CPE/EE 421 Microcomputers CPE/EE 421 Microcomputers THE 68000 CPU HARDWARE MODEL Instructor: Dr Aleksandar Milenkovic Lecture Notes Lecture 19 CPE/EE 421/521 Microcomputers 1 THE 68000 CPU HARDWARE MODEL Chapter 4 68000 interface

More information

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices School of Engineering, University of Guelph Winter 2017 1 Objectives: The purpose of this lab is : Learn basic bus design techniques.

More information

Lab 3 Finite State Machines Movie Ticket Dispensing Machine

Lab 3 Finite State Machines Movie Ticket Dispensing Machine Lab 3 Finite State Machines Movie Ticket Dispensing Machine Design, implement, verify, and test a Movie Ticket Dispensing Machine based on the following specification: The machine should allow the choice

More information

EE122 - Midterm 1 Examination EECS-UC Berkeley October 2000

EE122 - Midterm 1 Examination EECS-UC Berkeley October 2000 EE122 - Midterm 1 Examination EECS-UC Berkeley October 2000 B This is a closed book exam. The paper consists of 7 pages including the cover page. THe total poin obtinable for the paper is 100. Students

More information

Product Family: Electro Mechanical Relays Number: AN-LC-008

Product Family: Electro Mechanical Relays Number: AN-LC-008 APPLICATION NOTE THIS INFORMATION PROVIDED BY AUTOMATIONDIRECT.COM TECHNICAL SUPPORT These documents are provided by our technical support department to assist others. We do not guarantee that the data

More information