To practice combinational logic on Logisim and Xilinx ISE tools. ...

Size: px
Start display at page:

Download "To practice combinational logic on Logisim and Xilinx ISE tools. ..."

Transcription

1 ENGG1203: Introduction to Electrical and Electronic Engineering Second Semester, Lab 1 Objective: To practice combinational logic on Logisim and Xilinx ISE tools. 1 Find your lab partner You will be working with a randomly assigned partner for this lab. To find your assigned lab partner and the assigned table, 1. Log in to Moodle. 2. Select the assignment Lab 1 Partner Please proceed to your assigned table. 2 Get the files Download the files for this lab from Save your file on the Desktop. If you save it at any other locations, make sure the folder name contains only simple english characters and numbers. Do not include space or any other symbols in the path. To unzip the file: Right click the downloaded file. Select Extract All... 3 Logisim In this part of the lab, you will get familiar with the software Logisim, which we will be using to simulate the behavior of digital logic circuits. If you would like to run Logisim on your own computer, you may download it from Implement the following circuit in Logisim. A B P Q Figure 1: Y

2 To insert a logic gate, expand the folder Gates and click on the desired logic gate. Once the gate is selected, the mouse will turn into the shape of the selected gate. Place the gate in the circuit area on the right. To connect the gates, select the arrow icon on top ( ). Then drag from the output of one gate to the input of another gate. You can connect to any of the small blue dots on the gate symbol. To create an input to the circuit, select the Add Pin icon with an outline of a square ( add an output to the circuit by using the Add Pin icon with an outline of a circle ( ). To assign a name to the input/output pin, click on the pin while the arrow icon ( may then add the label for the pins, in this case, a, b and y for output. ). Similarly, ) is selected. You Once you have connected the circuit, you will notice the color of the wire changes. A dark green color means that the current value on the wire is a logical 0, while a light green color signifies a 1. Other wire colors: blue = unknown value, gray = unconnected, red = conflict. For more information, consult the Logisim online help file. In particular, the section on Beginner s Tutorial can be very helpful. 3.2 Select the poke tool ( ) so you can test the circuit. You can toggle the value of an input pin by clicking on it using the poke tool. Note the values of all subsequent wires change instantly. Using this tool, complete the following truth table of the given circuit. Note that we have put 3 columns on the right hand side. It is a simple short hand so we can see the internal node values (p, q) together with the final output y. a b p q y Write down the boolean expression for p, q in terms of a, b. p = q = 3.4 Write down the boolean expression for y in terms of p and q, then substitute your answer from above to express y in terms of a and b. Page 2 of 7

3 y = 3.5 Checkoff 1 The given circuit performs the function of a simple gate. What is that function? Show your truth table and your boolean expression of the circuit to your TA. Before you ask for a TA to checkoff you work, log in to the Moodle account of any one of the group partners. Click on Lab 1 Checkoff 1. 4 Testing on real hardware While Logisim allows easy simulation of digital logic circuit, we will use a different software that helps us implement the digital circuit in real hardware. In this course, we will be using the Basys2 board for hardware implementation, which contains a programmable hardware integrated circuit called Field Programmable Gate Array (FPGA). An FPGA is a special hardware that is reprogrammable by a user. Once configured, the FPGA operates in the same way as if the desired hardware functions were physically implemented in hardware. Note that although it is programmable, an FPGA is not a microprocessor. This is the reason we need to configure it using a specially designed software. We will be using the Xilinx ISE tools for this purpose. Warning: As an industrial-standard software, the Xilinx ISE tools can be very cumbersome and complicated at time. But once you have gotten used to the steps, they will become much simpler. 4.1 Start ISE by double-clicking on the icon ISE Design Suite Open the project file lab1/lab1a/lab1a.xise that you have downloaded. This will start an empty project with settings configured for the Basys2 board. 4.2 Add a Schematic We will implement the same circuit in Figure 1 using the Basys2 as an exercise. To add a schematic design into the project, do the following: 1. Select Project New Source from the menu. 2. Choose Schematic 3. Type the name lab1a in the File name field. 4. Click Next 5. Click Finish Once you have added the new source lab1a.sch, it will automatically be opened on the top right. Page 3 of 7

4 4.3 Adding symbols To add the necessary logic components, click on the Add Symbol button near the center of the screen. Select Logic under Categories. This is where you can find simple logic gates that we have covered in class are listed. 4.4 Finding those gates For a 2-input AND gate, select and2. For a 2-input NOR gate, select nor2. Select the gates and place them in the circuit on the right. To connect the gates with wires, select the Add Wire tool of a gate to the input of another gate. and drag a connection from the output 4.5 Input/Output To connect your schematic with the rest of the world, you need to specify the I/O ports. For that, select the button Add I/O marker. The default setting will automatically detect the I/O direction of the marker. Click on the input to a gate and you will see that an input marker is automatically created, and vise versa. Finally, name your I/O ports. Follow the name as shown in the above circuit figure: Name the input a and b respectively; Name the output y. NOTE: You must name them exactly as a, b, and y in preparation for next step. To rename an I/O marker, right click on the marker and select Rename Port. 4.6 Implement the design The FPGA is configured by a special bit file with a.bit extension. To generate the necessary bit file for your design, do the following: 1. Select the Design tab in Project Navigator; 2. Double click Generate Programming File. If everything go smoothly, you should have your first FPGA programming bit file in about 5 minutes. Page 4 of 7

5 4.7 Connecting the Basys2 Connect the Basys2 to the computer using the provided USB cable. The Basys2 board is powered by the USB cable and no external power supply is needed. Once connected, turn on the Basys2 power switch. You should see the 7-segment display starts running. 4.8 Download to the real circuit The final step is to download the circuit to the Basys2 board. Double click on the Configure Target Device step in project navigator. A new software, called impact will launch. A warning will display saying no configuration for impact is found. We will create a configuration in the following steps. In impact, double click Boundary Scan on the left. Select Initialize JTAG chain or type Ctrl-I. It will detect the attached board and initialize the system. If correct, you should see two chips detected. When asked if you want to load the configuration, click Cancel. We will manually set the configuration in next step. Right click on the first chip labeled xc3s100e and select Assign New Configure File.... Select lab1a.bit as the configuration. Click NO when asked if you want to program the attached SPI. Finally, right click on the xc3s100e and select Program, and OK. If things are correct, you should see that the 7-segment display is now off. Optional: If you want to skip the configuration step in future, you can save the impact configuration and use that configuration in the Configure Target Device step above. See the ISE manual for more details. 4.9 Testing your circuit The two inputs, a and b are connected to the first two switches, labeled sw0 and sw1 on the board. The output y is connected to the first LED led0. To test your circuit, try switching sw0 and sw1 between HIGH and LOW and see if led0 lights up as expected Checkoff 2 Demonstrate to your TA your working circuit on the Basys2. Show your schematic to your TA and explain the process to generate the FPGA configuration from the top-level schematics. Before you ask for a TA to checkoff you work, log in to the Moodle account of any one of the group partners. Click on Lab 1 Checkoff input XOR In this part of the lab, you will practice the skills you have learned so far to build a slightly more complex circuit a 3-input XOR gate. A 3-input XOR gate has three inputs a, b and c and outputs a 1 when there is an odd number of 1 among the inputs. Page 5 of 7

6 5.1 A 3-input XOR gate has the following Boolean expression: y = a b c. Draw in the following area the schematic of such 3-input XOR gate using two 2-input XOR gates. 5.2 Based on the above schematic, implement a 3-input XOR gate in the Xilinx ISE schematic editor. To implement the design, 1. Open the project lab1b.xise 2. Select Project New Source 3. Select Schematic and name your file lab1b 5.3 Once you have a blank schematic, complete the design of 3-input XOR gate using two 2-input XOR gates (xor2 under the Logic categories) to reproduce the schematic you have designed above. Name your inputs a, b, and c, and name your output y. 5.4 Mapping to the correct I/O You may notice that there are many switches and buttons on the Basys2 board. How did the ISE tools know that the I/O port of signal a should map to sw0 and b should map to sw1? The answer is in a user constraints file (.ucf file). In the blank project you ve downloaded, you should see a file called lab1b.ucf has already been added for you. Double click the file to open it. The content of this file determines where each I/O port in the circuit should be connected to physically in the board. Go to line 68 and 69, you will see the NET a is mapped to location (LOC) P11. It tells the software that the wire representing a should be connected physically to I/O pin P11 of the FPGA, which in turn is connected to switch 0 on the Basys2 board. Now uncomment the line that started with NET c. Compare to the line above, you see that we are now connecting the input signal c to the push button 0 on the Basys2 board. 5.5 Implement To implement your design, 1. Click on the top design module, lab1b.sch in Project Navigator 2. Implement your design by double-clicking the Process Implement Design 5.6 Download and Test Download your design to the Basys2 board following the same procedure above, except you want to download lab1b.bit this time. Test your circuit with the switches sw0, sw1 and push button btn0. Page 6 of 7

7 5.7 Checkoff 3 Demonstrate your working 3-input XOR gate on the Basys2 board and the schematics. While keeping a and b unchanged, explain what happen to the output by pushing btn0. What logical function does input c provide with respect to a and b? Before you ask for a TA to checkoff you work, log in to the Moodle account of any one of the group partners. Click on Lab 1 Checkoff Optional: 3-input XOR in Logisim Implement a 3-input XOR gate in Logisim without using the built-in XOR gate. You should first make the circuit in Figure 1 as a subcircuit in Logisim and reuse it in your implementation of 3-input XOR gate. To learn how to use subcircuit, select from Logisim Help User s Guide. Then select the section on Subcircuits. Verify the function of your circuit by experimenting with different input values using the Poke tool. Page 7 of 7

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 1: Logic building blocks The main objective of this experiment is to let you familiarize with the lab equipment and learn about the operation of the

More information

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly! This tutorial will show you how to: Part I: Set up a new project in ISE 14.7 Part II: Implement a function using Schematics Part III: Simulate the schematic circuit using ISim Part IV: Constraint, Synthesize,

More information

Circuit design with configurable devices (FPGA)

Circuit design with configurable devices (FPGA) 1 Material Circuit design with configurable devices (FPGA) Computer with Xilinx's ISE software installed. Digilent's Basys2 prototype board and documentation. Sample design files (lab kit). Files and documents

More information

Boise State University Digital Systems Laboratory

Boise State University Digital Systems Laboratory by S. M. Loo, Arlen Planting Department of Electrical and Computer Engineering Boise State University First Released: Spring 2005 with ISE 6.3i Updated: Fall 2006 with ISE 8.1i Updated: Spring 2009 with

More information

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax Xilinx ISE WebPACK Schematic Capture Tutorial Revision: February 27, 2010 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview This tutorial provides instruction for using the Xilinx

More information

COS 116 The Computational Universe Laboratory 8: Digital Logic II

COS 116 The Computational Universe Laboratory 8: Digital Logic II COS 116 The Computational Universe Laboratory 8: Digital Logic II In this lab you ll learn that, using only AND, OR, and NOT gates, you can build a circuit that can add two numbers. If you get stuck at

More information

Introduction. About this tutorial. How to use this tutorial

Introduction. About this tutorial. How to use this tutorial Basic Entry & not About this tutorial This tutorial consists of an introduction to creating simple circuits on an FPGA using a variety of methods. There are two ways to create the circuit: using or by

More information

After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up.

After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up. After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up. Start with a new project. Enter a project name and be sure to select Schematic as the Top-Level

More information

ECE 4305 Computer Architecture Lab #1

ECE 4305 Computer Architecture Lab #1 ECE 4305 Computer Architecture Lab #1 The objective of this lab is for students to familiarize with the FPGA prototyping system board (Nexys-2) and the Xilinx software development environment that will

More information

1 Discussion. 2 Pre-Lab

1 Discussion. 2 Pre-Lab CSE 275 Digital Design Lab Lab 3 Implementation of a Combinational Logic Circuit Penn State Erie, The Behrend College Fall Semester 2007 Number of Lab Periods: 1 1 Discussion The purpose of this lab is

More information

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. This lab is based on: Xilinx Project Navigator, Release Version 14.6 Digilent Adept System Rev 2.7, Runtime Rev 2.16 Digilent

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010 Tutorial: ISE 12.2 and the Spartan3e Board v12.2.1 August 2010 This tutorial will show you how to: Use a combination of schematics and Verilog to specify a design Simulate that design Define pin constraints

More information

COMP2611: Computer Organization Introduction to Logisim & simple combinational circuit

COMP2611: Computer Organization Introduction to Logisim & simple combinational circuit COMP2611 Fall2015 COMP2611: Computer Organization Introduction to & simple combinational circuit Content 2 Today we will learn: How to download and run ; How to use basic operations; How to build a simple

More information

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16 1 Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16 The following is a general outline of steps (i.e. design flow) used to implement a digital system described with

More information

Xilinx Schematic Entry Tutorial

Xilinx Schematic Entry Tutorial Overview Xilinx Schematic Entry Tutorial Xilinx ISE Schematic Entry & Modelsim Simulation What is circuit simulation and why is it important? Complex designs, short design cycle Simultaneous system design

More information

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 7: Basic Latches EE 1315: DIGITAL LOGIC LAB EE Dept, UMD Latches are primitive memory elements of sequential circuits that are used in building simple noise filtering circuits and flip-flops.

More information

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 1 - INTRODUCTION TO XILINX ISE SOFTWARE AND FPGA 1. PURPOSE In this lab, after you learn to use

More information

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module TLL5000 Electronic System Design Base Module The Learning Labs, Inc. Copyright 2007 Manual Revision 2007.12.28 1 Copyright 2007 The Learning Labs, Inc. Copyright Notice The Learning Labs, Inc. ( TLL )

More information

Introduction to Computer Engineering (E114)

Introduction to Computer Engineering (E114) Introduction to Computer Engineering (E114) Lab 1: Full Adder Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for

More information

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT 124 LABORATORY MODULE INTRODUCTION TO QUARTUS II DESIGN SOFTWARE : INTRODUCTION TO QUARTUS II DESIGN SOFTWARE OBJECTIVES To

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 1 Introduction to Xilinx Design Software 1 Objectives In this

More information

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively.

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively. Lab 1 You may work in pairs or individually on this lab Lab Objectives Learn about the equipment we will be using and how to handle it safely. Learn the basics of using Xilinx ISE to develop hardware designs

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory.

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. 1.0 Objectives In this lab you will get familiar with the concept of using the

More information

Step 1: Downloading the source files

Step 1: Downloading the source files Introduction: In this lab and in the remainder of the ELEC 2607 labs, you will be using the Xilinx ISE to enter and simulate the designs for your circuits. In labs 3 and 4, you will use ISE to compile

More information

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4 TLL5000 Electronic System Design Base Module Getting Started Guide, Ver 3.4 COPYRIGHT NOTICE The Learning Labs, Inc. ( TLL ) All rights reserved, 2008 Reproduction in any form without permission is prohibited.

More information

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA 1 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS II Winter Quarter 2018 Lab 1: Implementing Combinational Logic in the MAX10 FPGA Objective: This

More information

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

Lab 1: Introduction to Verilog HDL and the Xilinx ISE EE 231-1 - Fall 2016 Lab 1: Introduction to Verilog HDL and the Xilinx ISE Introduction In this lab simple circuits will be designed by programming the field-programmable gate array (FPGA). At the end

More information

T Safety Board CPLD Programming Guide Revision: -

T Safety Board CPLD Programming Guide Revision: - REV - DESCRIPTION Initial Release DATE 3/27/08 BY EAW T3-3002 Safety Board CPLD Programming Guide Revision: - FILENAME: T3-3002_Safety_Board_CPLD_Programming_Guide.doc LAST SAVE: 7/24/2009 10:51 AM Page

More information

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17 1 Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17 The following is a general outline of steps (i.e. design flow) used to implement a digital system described with

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

SCHEMATIC DESIGN IN QUARTUS

SCHEMATIC DESIGN IN QUARTUS SCHEMATIC DESIGN IN QUARTUS Consider the design of a three-bit prime number detector. Figure 1 shows the block diagram and truth table. The inputs are binary signals A, B, and C while the output is binary

More information

University of Pennsylvania. Department of Electrical and Systems Engineering. ESE Undergraduate Laboratory. Introduction to LabView

University of Pennsylvania. Department of Electrical and Systems Engineering. ESE Undergraduate Laboratory. Introduction to LabView University of Pennsylvania Department of Electrical and Systems Engineering ESE Undergraduate Laboratory Introduction to LabView PURPOSE The purpose of this lab is to get you familiarized with LabView.

More information

Xilinx ISE Synthesis Tutorial

Xilinx ISE Synthesis Tutorial Xilinx ISE Synthesis Tutorial The following tutorial provides a basic description of how to use Xilinx ISE to create a simple 2-input AND gate and synthesize the design onto the Spartan-3E Starter Board

More information

ELEC 204 Digital System Design LABORATORY MANUAL

ELEC 204 Digital System Design LABORATORY MANUAL ELEC 204 Digital System Design LABORATORY MANUAL : Introductory Tutorial For Xilinx ISE Foundation v10.1 & Implementing XOR Gate College of Engineering Koç University Important Note: In order to effectively

More information

Tutorial on Quartus II Introduction Using Verilog Code

Tutorial on Quartus II Introduction Using Verilog Code Tutorial on Quartus II Introduction Using Verilog Code (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow

More information

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London. Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment: FPGA Design with Verilog Objectives By the end of this experiment, you should know: How to design digital circuits using

More information

A B A+B

A B A+B ECE 25 Lab 2 One-bit adder Design Introduction The goal of this lab is to design a one-bit adder using programmable logic on the BASYS board. Due to the limitations of the chips we have in stock, we need

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation Revision: February 7, 2003 Overview This document is intended to assist new entry-level users of the Xilinx ISE/WebPack software. It

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Edited by B. Choi, R.

More information

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 Goals ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 1. To review the use of Verilog for combinational logic design. 2. To become familiar with using the Xilinx ISE software

More information

Lab #9: Introduction to Logisim CS 0447/COE 0147: Spring 2012

Lab #9: Introduction to Logisim CS 0447/COE 0147: Spring 2012 Lab #9: Introduction to Logisim CS 0447/COE 0147: Spring 2012 You have 2 weeks to do this lab, as always. Each of you should submit your own solution according to the instructions at your TA's website.

More information

Programmable Logic Design Techniques I

Programmable Logic Design Techniques I PHY 440 Lab14: Programmable Logic Design Techniques I The design of digital circuits is a multi-step process. It starts with specifications describing what the circuit must do. Defining what a circuit

More information

Tutorial on Quartus II Introduction Using Schematic Designs

Tutorial on Quartus II Introduction Using Schematic Designs Tutorial on Quartus II Introduction Using Schematic Designs (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD

More information

Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE

Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE 1. Synopsis: This lab introduces Xilinx Schematic Editor to input a digital design and ModelSim to simulate

More information

Small rectangles (and sometimes squares like this

Small rectangles (and sometimes squares like this Lab exercise 1: Introduction to LabView LabView is software for the real time acquisition, processing and visualization of measured data. A LabView program is called a Virtual Instrument (VI) because it,

More information

Workshop 5-1: Dynamic Link

Workshop 5-1: Dynamic Link Workshop 5-1: Dynamic Link 2015.0 Release ANSYS HFSS for Antenna Design 1 2015 ANSYS, Inc. Overview Linear Circuit Overview Dynamic Link Push Excitations Dynamic Link Example: Impedance Matching of Log-Periodic

More information

CSC258H: Logisim-Evolution Reference

CSC258H: Logisim-Evolution Reference 1 Introduction CSC258H: Logisim-Evolution Reference Alexander Kemenchuk Logisim is a powerful logic circuit simulation environment. In CSC258, we will use Logisim-Evolution (a fork of the original Logisim)

More information

CS2630: Computer Organization Homework 3 Combinational logic and Logisim Due October 14, 2016, 11:59pm

CS2630: Computer Organization Homework 3 Combinational logic and Logisim Due October 14, 2016, 11:59pm CS2630: Computer Organization Homework 3 Combinational logic and Logisim Due October 14, 2016, 11:59pm Instructions: You must submit your files to Assignments > Homework 3 on ICON. Make sure your programs

More information

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial Revision 0 By: Evan Gander Materials: The following are required in order to complete this

More information

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme]

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme] QUT Digital Repository: http://eprints.qut.edu.au/ This is the author version published as: This is the accepted version of this article. To be published as : This is the author s version published as:

More information

Altera Quartus II Tutorial ECE 552

Altera Quartus II Tutorial ECE 552 Altera Quartus II Tutorial ECE 552 Quartus II by Altera is a PLD Design Software which is suitable for high-density Field-Programmable Gate Array (FPGA) designs, low-cost FPGA designs, and Complex Programmable

More information

475 Electronics for physicists Introduction to FPGA programming

475 Electronics for physicists Introduction to FPGA programming 475 Electronics for physicists Introduction to FPGA programming Andrej Seljak, Gary Varner Department of Physics University of Hawaii at Manoa November 18, 2015 Abstract Digital circuits based on binary

More information

CPLD Experiment 4. XOR and XNOR Gates with Applications

CPLD Experiment 4. XOR and XNOR Gates with Applications CPLD Experiment 4 XOR and XNOR Gates with Applications Based on Xilinx ISE Design Suit 10.1 Department of Electrical & Computer Engineering Florida International University Objectives Materials Examining

More information

Chip Design with FPGA Design Tools

Chip Design with FPGA Design Tools Chip Design with FPGA Design Tools Intern: Supervisor: Antoine Vazquez Janusz Zalewski Florida Gulf Coast University Fort Myers, FL 33928 V1.9, August 28 th. Page 1 1. Introduction FPGA is abbreviation

More information

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L)

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L) XILINX WebPack -- testing with Nexys2 boards at USC (EE201L) Gandhi Puvvada 1 Before you start: 1.1 We assume that you have installed WebPACK on your desktop or laptop already. We assume that you have

More information

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS Introduction to Active-HDL TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS This tutorial will introduce the tools and techniques necessary to design a basic schematic. The goal of this tutorial is

More information

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1 University of Hawaii EE 361L Getting Started with Spartan 3E Digilent Basys2 Board Lab 4.1 I. Test Basys2 Board Attach the Basys2 board to the PC or laptop with the USB connector. Make sure the blue jumper

More information

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game EE108A Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game 1. Introduction Objective This lab is designed to familiarize you with the process of designing, verifying, and implementing a combinational

More information

COMPUTER ENGINEERING PROGRAM

COMPUTER ENGINEERING PROGRAM COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 169 Experiment 1 Introduction to Basic Logic and the Digilent Development Board Learning Objectives 1. CPE 169 Hardware and Software

More information

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent.

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent. CSE 352 Tutorial # 4 Synthesizing onto an FPGA Objectives This tutorial will walk you through the steps of implementing a design made in Active-HDL onto the Altera Cyclone II FPGA NOTE: This tutorial contains

More information

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 Lab Description Today s lab will introduce you to the Xilinx Integrated Software Environment (ISE)

More information

XILINX ISE AND SPARTAN 3AN TUTORIAL

XILINX ISE AND SPARTAN 3AN TUTORIAL XILINX ISE AND SPARTAN 3AN TUTORIAL SYNTETIZE AND SIMULATION------------------------------------------ This tutorial will show you how to create a simple Xilinx ISE project based on the Spartan-3 Board.

More information

Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices

Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices Internet Engineering Dr. Jarosław Sugier Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices This document presents software packages

More information

EECS150: Lab 1, FPGA Editor

EECS150: Lab 1, FPGA Editor EECS150: Lab 1, FPGA Editor UC Berkeley College of Engineering Department of Electrical Engineering and Computer Science 1 Time Table ASSIGNED DUE Friday, January 23 rd Week 3: February 1 st 7 th, 10 minutes

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

Lab 1: FPGA Physical Layout

Lab 1: FPGA Physical Layout Lab 1: FPGA Physical Layout University of California, Berkeley Department of Electrical Engineering and Computer Sciences EECS150 Components and Design Techniques for Digital Systems John Wawrzynek, James

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16 Page 1/14 Quartus Tutorial with Basic Graphical Gate Entry and Simulation Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate

More information

ME 365 EXPERIMENT 3 INTRODUCTION TO LABVIEW

ME 365 EXPERIMENT 3 INTRODUCTION TO LABVIEW ME 365 EXPERIMENT 3 INTRODUCTION TO LABVIEW Objectives: The goal of this exercise is to introduce the Laboratory Virtual Instrument Engineering Workbench, or LabVIEW software. LabVIEW is the primary software

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE Lab 1: Introduction to Verilog HDL and Altera IDE Introduction In this lab you will design simple circuits by programming the Field-Programmable Gate Array (FPGA). At the end of the lab you should be able

More information

eproduct Designer A Simple Design and Simulation Tutorial

eproduct Designer A Simple Design and Simulation Tutorial eproduct Designer A Simple Design and Simulation Tutorial Written by Bahram Dahi Fall 2003 Updated Spring 2007 Dashboard Project management tool 1. In the main window, click on the File menu and select

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17 Page 1/14 Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate and two inverters under the Quartus environment. Upon completion

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1 DIGITAL LOGIC WITH VHDL (Fall 23) Unit DESIGN FLOW DATA TYPES LOGIC GATES WITH VHDL TESTBENCH GENERATION DESIGN FLOW Design Entry: We specify the logic circuit using a Hardware Description Language (e.g.,

More information

COMPUTER ENGINEERING PROGRAM

COMPUTER ENGINEERING PROGRAM COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 169 Experiment 1 Introduction to Basic Logic and the Digilent Development Board Learning Objectives 1. CPE 169 Hardware and Software

More information

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE. Board-Data Processing VHDL Exercises Exercise 1: Basics of VHDL Programming Stages of the Development process using FPGA s in Xilinx ISE. Basics of VHDL VHDL (Very High Speed IC Hardware description Language)

More information

The Alarm System: The alarm system to be designed has the following inputs.

The Alarm System: The alarm system to be designed has the following inputs. 1 Introduction In this lab you will use the Xilinx CAD tools to complete the design of a simple home alarm system containing sensors for that indicate whether the Windows, Door, and Garage are secure.

More information

Getting Started with LabVIEW Virtual Instruments

Getting Started with LabVIEW Virtual Instruments Getting Started with LabVIEW Virtual Instruments Approximate Time You can complete this exercise in approximately 30 minutes. Background LabVIEW programs are called virtual instruments, or VIs, because

More information

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008 1 ECSE-323 Digital System Design Lab #1 Using the Altera Quartus II Software Fall 2008 2 Introduction. In this lab you will learn the basics of the Altera Quartus II FPGA design software through following

More information

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2011

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2011 CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2011 GENERAL DEVELOPMENT CYCLE WITH FPGAS FOR A NEW CHIP 1. Introduction A digital product is developed as a new (digital) chip or as a new printed circuit

More information

LAB EXERCISE 2 EM Basics (Momentum)

LAB EXERCISE 2 EM Basics (Momentum) ADS 2012 EM Basics (v2 April 2013) LAB EXERCISE 2 EM Basics (Momentum) Topics: EM simulation in ADS, focusing on Momentum, including substrate and port setups, 3D viewing, visualization, and more. Audience:

More information

EECS150: Lab 1, FPGA Editor

EECS150: Lab 1, FPGA Editor EECS150: Lab 1, FPGA Editor UC Berkeley College of Engineering Department of Electrical Engineering and Computer Science 1 Time Table ASSIGNED DUE Friday, August 27 nd Week 3: August 7 th 8 th, during

More information

Introduction to Nexys 2 board - Detour Signal Lab

Introduction to Nexys 2 board - Detour Signal Lab 1. Synopsis: Introduction to Nexys 2 board - This lab introduces the use of Field Programmable Gate Arrays (FPGA). This lab introduces the Digilent Nexys 2 board and demonstrate FPGA design flow through

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i This tutorial will show you how to: Use Verilog to specify a design Simulate that Verilog design Define pin constraints for the FPGA (.ucf

More information

Tutorial for Altera DE1 and Quartus II

Tutorial for Altera DE1 and Quartus II Tutorial for Altera DE1 and Quartus II Qin-Zhong Ye December, 2013 This tutorial teaches you the basic steps to use Quartus II version 13.0 to program Altera s FPGA, Cyclone II EP2C20 on the Development

More information

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II This lab manual presents an introduction to the Quartus II Computer Aided Design (CAD) system. This manual gives step-by-step

More information

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Introduction This lab introduces the concept of modular design by guiding you through

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil Khatri TA: Monther Abusultan (Lab exercises created by A. Targhetta / P. Gratz)

More information

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM Department of Computing Course 112 Hardware First Year Laboratory Assignment Dates for the session 2005-2006: Hand out Date: 10 th January 2006 Hand in deadline (electronic and written report): 17.00 Monday

More information

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011 RTL and Technology Schematic Viewers Tutorial The information disclosed to you hereunder (the Information ) is provided AS-IS with no warranty of any kind, express or implied. Xilinx does not assume any

More information

SWITS User Manual. Accessing SWITS. This document focuses on the elements required to Access SWITS. Total Pages: 5

SWITS User Manual. Accessing SWITS. This document focuses on the elements required to Access SWITS. Total Pages: 5 SWITS User Manual This document focuses on the elements required to Access SWITS Total Pages: 5 Accessing SWITS Using Your Browser to Access SWITS Identity Management Context Creating a shortcut California

More information

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES Introduction to Active-HDL TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES This tutorial will use the 1-bit full adder you designed in Tutorial #1 to construct larger adders. This will introduce the

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Read through each section completely before starting so that you have the benefit of all the directions. Put on a grounded wrist strap (cf. Getting Started) before touching

More information

for ArcSketch Version 1.1 ArcSketch is a sample extension to ArcGIS. It works with ArcGIS 9.1

for ArcSketch Version 1.1 ArcSketch is a sample extension to ArcGIS. It works with ArcGIS 9.1 ArcSketch User Guide for ArcSketch Version 1.1 ArcSketch is a sample extension to ArcGIS. It works with ArcGIS 9.1 ArcSketch allows the user to quickly create, or sketch, features in ArcMap using easy-to-use

More information

Quartus II Introduction Using Verilog Design

Quartus II Introduction Using Verilog Design Quartus II Introduction Using Verilog Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

EE 210 Lab Assignment #2: Intro to PSPICE

EE 210 Lab Assignment #2: Intro to PSPICE EE 210 Lab Assignment #2: Intro to PSPICE ITEMS REQUIRED None Non-formal Report due at the ASSIGNMENT beginning of the next lab no conclusion required Answers and results from all of the numbered, bolded

More information

FPGA Introductory Tutorial: Part 1

FPGA Introductory Tutorial: Part 1 FPGA Introductory Tutorial: Part 1 This tutorial is designed to assist in learning the basics of the Altera Quartus II v9.0 software. Part 1 of the tutorial will cover the basics of creating a Project,

More information