ASNT1011A-PQA-Evaluation Board DC-17Gbps Digital Multiplexer 16:1 / Serializer Application Note

Size: px
Start display at page:

Download "ASNT1011A-PQA-Evaluation Board DC-17Gbps Digital Multiplexer 16:1 / Serializer Application Note"

Transcription

1 Overview ASNT1011A-PQA-Evaluation Board DC-17Gbps Digital Multiplexer 16:1 / Serializer Application Note ASNT1011A-PQA is a low power and high-speed digital 16:1 multiplexer (MUX) / serializer IC. The function of the ASNT1011A-PQA is to multiplex sixteen parallel data channels running at a bit rate of fbit/16 into a high-speed serial bit stream running at fbit. It provides a high-speed output data channel for point-to-point data transmission over a controlled impedance media of 50Ohms. The transmission media can be a printed circuit board or copper coaxial cables. The functional distance of the data transfer is dependent upon the attenuation characteristics of the transportation media and the degree of noise coupling to the signaling environment. During normal operation, the serializer s low-speed input buffer (LS DIBx16) accepts external 16-bit wide parallel data words d00p/d00n-d15p/d15n through sixteen differential LVDS inputs, and delivers them to the multiplexer s core (MUX16:1) for serialization. A full rate clock must be provided by an external source to cep/cen which connect to the internal highspeed clock input buffer (HS CIB) where it is routed to the high speed clock output buffer (HS COB) and the internal divider-by-16 (/16). The divider provides signaling for MUX16:1, and produces a clock divided-by-16 (C16) for the low speed LVDS compliant clock output buffer (LVDS COB). The phase of clop/clon can be modified by 90 increments by pins phs1 and phs2, and the clock processing block (CLK Proc). By utilizing pin bitorder, the serializer can designate either d00p/d00n or d15p/d15n as the MSB thus simplifying the interface between ASNT1011A-PQA and a proceeding ASIC. The serialized words are transmitted as 2-level signals qcmlp/qcmln by a differential CML output buffer (Data OB). A full-rate clock is transmitted by HS COB in parallel with the high-speed data. The clock and data outputs are phase matched to each other resulting in very little relative skew over the operating temperature range of the device. Both output stages are back terminated with on-chip 50Ohms resistors. The board operates from a single +3.3V power supply. Evaluation board Operation ADSANTEC s evaluation board ASNT01_71 contains eight Emerson edge-mount female SMA connectors MFG PN: , thirty six vertical mount SMA connectors MFG PN: RSA-3350, 50Ohm transmission lines to the device, and power supply decoupling networks on the evaluation board. It measures approximately 5.36 x 8.0 inches without connectors. It utilizes a MOLEX connector MFG PN: to supply power. Figure 1 shows the evaluation board with its corresponding connections for operation. 1. Refer to Figure 1 for all referenced inputs/outputs. 2. Refer to Table 1 for all required switch positions. 3. Measure the resistance of all connector pins in reference to VCC, including the power supply, while making sure the board is grounded. The data/clock outputs and clock inputs should measure 50Ohms. Figure 2 shows the corresponding resistances for the described connections. Rev October 2015

2 Figure 1. Pin description Switch block SW1 SW2 Switch No. Name on the PCB On-chip name Required state of the Switch 1 /Vecl - open 2 /Vcml - open 3 PH1 phs1 4 PH2 phs2 See Table open 6 ML/DIG closed 7 (3-sw) - closed 8 closed 1 CHO/off - open 2 C/C2 - open 3 PLL/off - open open 5 12G/8G - open 6 DLY- /DLY+ - open 7 16b/8b - open 8 LVDS/other - open Table 1. Switches Rev October 2015

3 Figure 2. Ohmic check 4. Set a positive power supply to 0V, while placing its current limit at 250mA. Ramp the supply slowly up to +3.3V. The board should draw approximately 200mA. 5. Apply low speed AC coupled single-ended or differential data signals to inputs d00p/d00n to d15p/d15n. If any input is used single-ended, terminate the unused data input with a DC block, and a 50Ohms load. Note: LVDS Data Inputs d00p/d00n to d15p/d15n interface is LVDS, and using a 50Ohms source data may be used AC coupled to these inputs. This is acceptable for checking functionality, and will not damage anything. 6. Apply a high speed AC coupled single-ended or differential clock signal to cep/cen. If being used single-ended, terminate the unused clock input with a DC block, and a 50Ohms load. 7. Connect outputs qcmlp/qcmln single-ended or differential AC coupled to an oscilloscope with a 50Ohms termination. If connected single-ended, terminate the unused output with a DC block, and a 50Ohms load. Examine the data output signal on the scope. 8. Connect outputs chop/chon single-ended or differential AC coupled to an oscilloscope with a 50Ohms termination. If connected single-ended, terminate the unused output with a DC block, and a 50Ohms load. Examine the clock output signal on the scope. 9. Connect outputs clop/clon single-ended or differential AC coupled to an oscilloscope with a 50Ohms termination. If connected single-ended, terminate the unused output with a DC block, and a 50Ohms load. Examine the clock-divided-by-16 output signal on the scope. Rev October 2015

4 Phase Switch state value phs1 phs2 0º OFF OFF 90º OFF ON 180º ON OFF 270º ON ON Table 2. Low speed clock phase select Note: The outputs clop/clon interface is LVDS, and therefore what you observe is a distorted waveform due to using a LVDS signal connected to a 50Ohms terminated oscilloscope. This is acceptable for checking functionality, and will not damage anything. 10. To adjust the clock phase of the Divided by 16 Clock Output clop/clon outputs, refer to Table 2 for all possible phase outputs settings. Rev October 2015

5 Board Dimensions Revision History Revision Date Changes Title and Formatting Correction Added Board Dimensions Diagram Updated Formatting Initial Release Rev October 2015

ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer

ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer Broadband digital serializer 16 to 1 operating seamlessly from DC to 17Gbps LVDS compliant input data buffers Full-rate clock output Clock

More information

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer ASNT1011-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer Broadband digital serializer 16 to 1 LVDS compliant input data buffers Full-rate clock output Clock-divided-by-16 LVDS output buffer with

More information

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer / Serializer 16:1or 8:1

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer / Serializer 16:1or 8:1 ASNT1011-KMA DC-to-17Gbps Digital Multiplexer / Serializer 16:1or 8:1 Broadband programmable digital serializer 16-to-1 or 8-to-1 LVDS compliant input data buffers Full-rate clock output Selectable LVDS-compliant

More information

ASNT1011A. ASNT1011A-PQA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer

ASNT1011A. ASNT1011A-PQA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer Advaed Sciee And Novel Technology Company, I. 27 Via Porto Grande, Raho Palos Verdes, CA 90275 ASNT1011A-PQA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer Broadband digital serializer 16-to-1. LVDS

More information

ASNT1011. ASNT1011-PQA DC-to-16Gbps Digital Multiplexer 16:1 / Serializer

ASNT1011. ASNT1011-PQA DC-to-16Gbps Digital Multiplexer 16:1 / Serializer Advaed Sciee And Novel Technology Company, I. 27 Via Porto Grande, Raho Palos Verdes, CA 90275 ASNT1011-PQA DC-to-16Gbps Digital Multiplexer 16:1 / Serializer Broadband digital serializer 16-to-1. LVDS

More information

ASNT1016-PQA 16:1 MUX-CMU

ASNT1016-PQA 16:1 MUX-CMU 16:1 MUX-CMU 16 to 1 multiplexer (MUX) with integrated CMU (clock multiplication unit). PLL-based architecture featuring both counter and forward clocking modes. Supports multiple data rates in the 9.8-12.5Gb/s

More information

ASNT2011-KMA DC-to-17Gbps Digital Demultiplexer 1:16 / Deserializer

ASNT2011-KMA DC-to-17Gbps Digital Demultiplexer 1:16 / Deserializer ASNT2011-KMA DC-to-17Gbps Digital Demultiplexer 1:16 / Deserializer Broadband digital deserializer 1:16 operating seamlessly from DC to 17Gbps. LVDS output data buffers that feature a low-power proprietary

More information

ASNT Gbps 1:16 Digital Deserializer

ASNT Gbps 1:16 Digital Deserializer 12.5Gbps 1:16 Digital Deserializer Broadband up to 12.5Gbps (gigabits per second) 1:16 Deserializer High-speed Input Data Buffer with on-chip 100Ohm differential termination. Full-rate CML Input Clock

More information

ASNT8050-PQB GHz and GHz Programmable PLL with integrated VCOs

ASNT8050-PQB GHz and GHz Programmable PLL with integrated VCOs ASNT8050-PQB 7.4-8.8GHz and 10.8-12.7GHz Programmable PLL with integrated VCOs Programmable clock multiplier (CMU) with two selectable frequency ranges of internal PLL Optional operational mode as a clock

More information

ASNT7122-KMA 15GS/s, 4-bit Flash Analog-to-Digital Converter with HS Outputs

ASNT7122-KMA 15GS/s, 4-bit Flash Analog-to-Digital Converter with HS Outputs ASNT7122-KMA 15GS/s, 4-bit Flash Analog-to-Digital Converter with HS Outputs 20GHz analog input bandwidth Selectable clocking mode: external high-speed clock or internal PLL with external reference clock

More information

ASNT7120-KMA 10GS/s, 4-bit Flash Analog-to-Digital Converter

ASNT7120-KMA 10GS/s, 4-bit Flash Analog-to-Digital Converter 10GS/s, 4-bit Flash Analog-to-Digital Converter 18GHz analog input bandwidth. Selectable clocking mode: external high-speed clock or internal PLL with external lowspeed reference clock. Broadband operation

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) input pairs and

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) or LVDS input pairs

More information

ASNT2032-MBL Digital DMUX 12-to-24 with LVDS Interfaces

ASNT2032-MBL Digital DMUX 12-to-24 with LVDS Interfaces -MBL Digital DMUX 12-to-24 with LVDS Interfaces Digital demultiplexer (DMUX) 12-to-24 with LVDS output interface Programmable LVDS/CML/ECL input interface Supports data rates from 1.0Mbps to 3.6Gbps Preset

More information

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features DATASHEET 2 TO 4 DIFFERENTIAL CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the two differential

More information

ASNT_MUX64 64Gbps 2:1 Multiplexer

ASNT_MUX64 64Gbps 2:1 Multiplexer ASNT_MUX64 64Gbps 2:1 Multiplexer 105ps data phase shift capability for both data inputs VCO s from 20GHz to 32.1GHz User selectable clock divide by 2 to 512 sync output for scope triggering 17ps Rise/Fall

More information

MAX3804 Evaluation Kit. Evaluates: MAX3804. Features DC-Coupled EV Kit SMA Connectors for All High-Speed Inputs and Outputs Fully Assembled and Tested

MAX3804 Evaluation Kit. Evaluates: MAX3804. Features DC-Coupled EV Kit SMA Connectors for All High-Speed Inputs and Outputs Fully Assembled and Tested 19-2821; Rev 1; 2/09 General Description The MAX3804 DC-coupled evaluation kit (EV kit) simplifies evaluation of the MAX3804 12.5Gbps settable equalizer. The EV kit enables full testing of the device functions

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

Precision CML/LVPECL/LVDS 2:1 MUX with Internal Termination and Fail Safe Input

Precision CML/LVPECL/LVDS 2:1 MUX with Internal Termination and Fail Safe Input Precision CML/LVPECL/LVDS 2:1 MUX with Internal Termination and Fail Safe Input General Description The SY58609U, SY58610U, and SY58611U evaluation boards are designed for convenient setup and quick evaluation

More information

P338 Dual 4-lane MIPI DPhy Probe Data Sheet & User Manual. October Rev 1.1

P338 Dual 4-lane MIPI DPhy Probe Data Sheet & User Manual. October Rev 1.1 P338 Dual 4-lane MIPI DPhy Probe Data Sheet & User Manual October 2012 - Rev 1.1 PG3A Pattern Generator P338 MIPI D-PHY Probe 1.0 General: The P338 probe features 2 links each comprising 4 data lanes and

More information

TF10CP02 / TF10CP Gbps 2x2 LVDS Crosspoint Switches. Features. Description. Applications. Function Diagram. Ordering Information.

TF10CP02 / TF10CP Gbps 2x2 LVDS Crosspoint Switches. Features. Description. Applications. Function Diagram. Ordering Information. Features DC to 1.5 Gbps low jitter, low skew, low power operation Pin configurable, fully differential, non-blocking architecture eases system design and PCB layout On-chip 100W input termination minimizes

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 7-25-12 Any assistance, services, comments, information, or suggestions provided by SMSC (including without limitation any comments to the effect that the Company

More information

ASNTu2s PCB with Tiger Board USB to 7-Channel 3-Wire Interface Bridge Application Notes

ASNTu2s PCB with Tiger Board USB to 7-Channel 3-Wire Interface Bridge Application Notes ASNTu2s PCB with Tiger Board USB to 7-Channel 3-Wire Interface Bridge Application Notes Table of Contents Tiger Board Description... 2 ASNTu2s Description... 2 Software Installation... 3 Bridge and GUI

More information

Maxim Integrated Products 1

Maxim Integrated Products 1 19-3093; Rev 0; 12/03 MAX3942 Evaluation Kit General Description The MAX3942 evaluation kit (EV kit) is an assembled demonstration board that provides electrical evaluation of the MAX3942 10.7Gbps modulator

More information

Features. Applications

Features. Applications Ultra-Precision 1:8 CML Fanout Buffer with Internal I/O Termination General Description The is a 2.5V/3.3V precision, high-speed, fully differential CML 1:8 fanout buffer. The is optimized to provide eight

More information

High Speed Characterization Report. DVI-29-x-x-x-xx Mated With DVI Cable

High Speed Characterization Report. DVI-29-x-x-x-xx Mated With DVI Cable High Speed Characterization Report DVI-29-x-x-x-xx Mated With DVI Cable REVISION DATE: 07-18-2004 TABLE OF CONTENTS Introduction... 1 Product Description... 1 Overview... 2 Results Summary... 3 Time Domain

More information

3.3V DUAL 1:10 PRECISION LVDS FANOUT BUFFER/TRANSLATOR WITH 2:1 INPUT MUX

3.3V DUAL 1:10 PRECISION LVDS FANOUT BUFFER/TRANSLATOR WITH 2:1 INPUT MUX 3.3V DUAL 1:10 PRECISION LVDS FANOUT BUFFER/TRANSLATOR WITH 2:1 INPUT MUX Precision Edge SY89828L Evaluation Board FEATURES GENERAL DESCRIPTION SY89828L dual 1:10 LVDS fanout buffer Single +3.3V power

More information

+Denotes lead-free and RoHS-compliant.

+Denotes lead-free and RoHS-compliant. 9-4067; Rev 0; /08 MAX95 Evaluation Kit General Description The MAX95 evaluation kit (EV kit) is a fully assembled and tested printed-circuit board (PCB) that simplifies the evaluation of the MAX95 400Mbps,

More information

SIU-218 and SIU-2218

SIU-218 and SIU-2218 SIU-218 and SIU-2218 Serial Interface Unit THIS MANUAL CONTAINS TECHNICAL INFORMATION FOR THE SIU-218 AND SIU-2218 SERIES SERIAL INTERFACE UNIT REVISION: OCTOBER 2013 pn 888-0218-001 THE SIU-218 AND SIU-2218

More information

Product Performance Specification Mini Coaxial Connector

Product Performance Specification Mini Coaxial Connector Product Performance Specification Mini Coaxial Connector 1. Scope 1.1 Content This specification covers the performance, tests and quality requirements for the Mini Coaxial connector and connector system.

More information

Features. Applications

Features. Applications 6GHz, 1:4 CML Fanout Buffer/Translator with Internal I/O Termination General Description The is a 2.5V/3.3V precision, high-speed, fully differential 1:4 CML fanout buffer. Optimized to provide four identical

More information

ZL40218 Precision 1:8 LVDS Fanout Buffer

ZL40218 Precision 1:8 LVDS Fanout Buffer Precision 1:8 LVDS Fanout Buffer Data Sheet Features Inputs/Outputs Accepts differential or single-ended input LVPECL, LVDS, CML, HCSL, LVCMOS Eight precision LVDS outputs Operating frequency up to 750

More information

1:4 LVPECL/CML FANOUT BUFFER WITH INTERNAL TERMINATION

1:4 LVPECL/CML FANOUT BUFFER WITH INTERNAL TERMINATION 1:4 LVPECL/CML FANOUT BUFFER WITH TERNAL TERMATION Precision Edge SY58020/21/22U EVALUATION BOARD FEATURES DESCRIPTION Precision, fully differential 1:4 fanout buffer family SY58020U 6GHz any diff. input-to-cml

More information

2. Control Pin Functions and Applications

2. Control Pin Functions and Applications IMARY CONTROL ( PIN) Module Enable / Disable. The module can be disabled by pulling the below 2.3 V with respect to the Input. This should be done with an open-collector transistor, relay, or optocoupler.

More information

PAS 9715/AO ENGINEERING SPECIFICATION

PAS 9715/AO ENGINEERING SPECIFICATION Document PAS7 DOC Revision B (7//) PAS 975/AO ENGINEERING SPECIFICATION 32 CHANNEL, 2 BIT VME ANALOG OUTPUT CARD PCB REVISION B (4/2/999) Additional copies of this manual or other Precision Analog Systems

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

2.5Gbps GPON/BPON ONU SERDES

2.5Gbps GPON/BPON ONU SERDES 2.5Gbps GPON/BPON ONU SERDES General Description The SY87725L evaluation board is designed for convenient setup and quick evaluation of the SY87725L using a single power source. The evaluation board is

More information

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram s Features ÎÎPCIe 3.0 compliant à à Phase jitter - 0.45ps RMS (High Freq. Typ.) ÎÎLVDS compatible output ÎÎSupply voltage of 3.3V ±10% ÎÎ25MHz crystal or clock input frequency ÎÎHCSL outputs, 0.8V Current

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 7-25-15 Any assistance, services, comments, information, or suggestions provided by Microchip (including without limitation any comments to the effect that the

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 5-29-08 Any assistance, services, comments, information, or suggestions provided by SMSC (including without limitation any comments to the effect that the Company

More information

MP6500 Stepper Motor Driver, Digital Current Control

MP6500 Stepper Motor Driver, Digital Current Control This breakout board for the MPS MP6500 micro stepping bipolar stepper motor driver is Pololu s latest stepper motor driver. The module has a pinout and interface that are very similar to that of our popular

More information

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET The InterOperability Laboratory MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET Abstract: This document serves as the primary documentation for the MIPI D-PHY Reference Termination

More information

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Eight

More information

QSFP+ Copper Cable Assembly

QSFP+ Copper Cable Assembly QSFP+ Copper Cable Assembly Overview QSFP+ (Quad Small Form-factor Pluggable Plus) copper direct-attach cables are suitable for very short distances and offer a highly cost-effective way to establish a

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 1-20-17 Any assistance, services, comments, information, or suggestions provided by Microchip (including without limitation any comments to the effect that the

More information

Features: Analog to Digital: 12 bit resolution TTL outputs, RS-232 tolerant inputs 4.096V reference (1mV/count) 115K max speed

Features: Analog to Digital: 12 bit resolution TTL outputs, RS-232 tolerant inputs 4.096V reference (1mV/count) 115K max speed The Multi-I/O expansion board gives users the ability to add analog inputs and outputs, UART capability (for GPS or modem) and isolated high current outputs to the Flashlite 386Ex. Available in several

More information

Evaluation Board for AZS10 Ultra-Low Phase Noise Buffer & Translator

Evaluation Board for AZS10 Ultra-Low Phase Noise Buffer & Translator AZS10EVB DATASHEET Mar 2017 www.azmicrotek.com RFA 04 004 Rev 2.1 Evaluation Board for AZS10 Ultra-Low Phase Noise Buffer & Translator Description The AZEBS10 evaluation board is a multi layer PCB assembly

More information

Appendix C. Datum Systems PSM-4900 Satellite Modem. Cabling Specifications

Appendix C. Datum Systems PSM-4900 Satellite Modem. Cabling Specifications DATUM SYSTEMS Appendix C Datum Systems PSM-4900 Satellite Modem Cabling Specifications PSM-4900 VSAT / SCPC - Cabling Specifications Revision History Rev 1.0 6-10-2000 Preliminary Release. Rev 1.1 8-1-2000

More information

Best practices for EMI filtering and IC bypass/decoupling applications

Best practices for EMI filtering and IC bypass/decoupling applications X2Y Component Connection and PCB Layout Guidelines Best practices for EMI filtering and IC bypass/decoupling applications X2Y Attenuators, LLC 1 Common X2Y Circuit Uses EMI FILTERING Conducted and Radiated

More information

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC)

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) 10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) Preliminary General Description GD16585 and GD16589 are transmitter chips used in SDH STM-64 and SONET OC-192 optical communication systems.

More information

REV CHANGE DESCRIPTION NAME DATE. A Release B Increased +1.2V Capacitor Value & VDD12A Cap Requirement

REV CHANGE DESCRIPTION NAME DATE. A Release B Increased +1.2V Capacitor Value & VDD12A Cap Requirement REV CHANGE DESCRIPTION NAME DATE A Release 8-1-16 B Increased +1.2V Capacitor Value & VDD12A Cap Requirement 1-16-17 Any assistance, services, comments, information, or suggestions provided by Microchip

More information

I.C. PCLK_IN DE_IN GND CNTL_IN1 RGB_IN0 RGB_IN1 RGB_IN2 RGB_IN3 RGB_IN4 RGB_IN5 RGB_IN6 RGB_IN7 CNTL_IN7 CNTL_IN6 CNTL_IN5 CNTL_IN4 CNTL_IN3 CNTL_IN2

I.C. PCLK_IN DE_IN GND CNTL_IN1 RGB_IN0 RGB_IN1 RGB_IN2 RGB_IN3 RGB_IN4 RGB_IN5 RGB_IN6 RGB_IN7 CNTL_IN7 CNTL_IN6 CNTL_IN5 CNTL_IN4 CNTL_IN3 CNTL_IN2 19-3558; Rev 4; 8/09 EVALUATION KIT AVAILABLE 27-Bit, 3MHz-to-35MHz General Description The digital video parallel-to-serial converter serializes 27 bits of parallel data into a serial data stream. Eighteen

More information

XSFP-T-RJ Base-T Copper SFP Transceiver

XSFP-T-RJ Base-T Copper SFP Transceiver Product Overview The electrical Small Form Factor Pluggable (SFP) transceiver module is specifically designed for the high performance integrated full duplex data link at 1.25Gbps over four pair Category

More information

250 Mbps Transceiver in LC FB2M5LVR

250 Mbps Transceiver in LC FB2M5LVR 250 Mbps Transceiver in LC FB2M5LVR DATA SHEET 650 nm 250 Mbps Fiber Optic Transceiver with LC Termination LVDS I/O IEC 61754-20 Compliant FEATURES LC click lock mechanism for confident connections Compatible

More information

Skywire BeagleBone Black Cape Data Sheet

Skywire BeagleBone Black Cape Data Sheet Skywire BeagleBone Black Cape Data Sheet NimbeLink Corp Updated: January 2018 PN 30122 rev 2 NimbeLink Corp. 2016. All rights reserved. 1 Table of Contents Table of Contents 2 Introduction 3 Overview 3

More information

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs I.K. Anyiam 1 Introduction LVDS SerDes helps to reduce radiated emissions, but does not completely eliminate them EMI prevention must

More information

Manual MB86R01. JADE Evaluation Board Extension Video Output. Version PA5.1 November 20, 2007

Manual MB86R01. JADE Evaluation Board Extension Video Output. Version PA5.1 November 20, 2007 1(20) Receiver: Info: M. Carstens-Behrens mycable GmbH Manual MB86R01 JADE Evaluation Board Extension Video Output Version November 20, 2007 http://www.fujitsu.com/emea/services/microelectronics 2(20)

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET DATASHEET ICS548A-03 Description The ICS548A-03 is a low cost, low skew, high-performance general purpose clock designed to produce a set of one output clock, one inverted output clock, and one clock divided-by-two.

More information

FMC GSPS 12-bit Dual DAC FMC Module

FMC GSPS 12-bit Dual DAC FMC Module FMC66 8-GSPS 1-bit Dual DAC FMC Module PRODUCT DESCRIPTION The FMC66 module is equipped with two Euvis MD66H digital-to-analog converters (DAC s). At 8 GSPS, the module provides analog outputs with bandwidth

More information

PCI Express XMC to PCI Express Adapter with J16 Connector Breakout DESCRIPTION

PCI Express XMC to PCI Express Adapter with J16 Connector Breakout DESCRIPTION PCI Express XMC to PCI Express Adapter with J16 Connector Breakout FEATURES Adapt one XMC.3 (PCI Express VITA 42.3) module to a PCI Express slot PCI Express x1 lane interface Active signal equalization

More information

6 Channel RS-422 Board (P/N xxx) User s Manual. And. Troubleshooting Guide

6 Channel RS-422 Board (P/N xxx) User s Manual. And. Troubleshooting Guide 6 Channel RS-422 Board (P/N 200560-xxx) User s Manual And Troubleshooting Guide February 24, 2009 Rev. B Moog Components Group Springfield Operations 750 West Sproul Road Springfield, PA 19064 E-Mail:

More information

ASIX USB-to-LAN Applications Layout Guide

ASIX USB-to-LAN Applications Layout Guide ASIX USB-to-LAN Applications Revision 1.0 Dec. 11th, 2007 1 Revision Date Description 1.0 2007/12/11 New release. ASIX USB-to-LAN Applications Revision History 2 Content 1. Introduction...4 2. 4-Layer

More information

Ethernet OptoLock EDL300T

Ethernet OptoLock EDL300T Ethernet OptoLock EDL300T DATA SHEET 650 nm 100 Mbps Ethernet Fiber Optic Transceiver with Termination for Bare POF Seamless Digital to Light/ Light to Digital Conversion FEATURES Simple low-cost termination

More information

E2655C Probe Deskew and Performance Verification Kit

E2655C Probe Deskew and Performance Verification Kit Keysight E2655C Probe Deskew and Performance Verification Kit User s Guide Calibration for the Solder-in and Socketed Probe Heads 3 Calibration for Hand-held Browser Probe Heads 10 Calibrating the InfiniiMax

More information

PCIe 3.0 Clock Generator with 4 HCSL Outputs. Description OE VDDXD S0 S1 S2 X1 X2 PD OE GNDXD IREF CLK0 CLK0 CLK1 CLK1 CLK2 CLK2 CLK3 CLK3

PCIe 3.0 Clock Generator with 4 HCSL Outputs. Description OE VDDXD S0 S1 S2 X1 X2 PD OE GNDXD IREF CLK0 CLK0 CLK1 CLK1 CLK2 CLK2 CLK3 CLK3 PCIe 3.0 Clock Generator with 4 HCSL Outputs Features PCIe 3.0 complaint PCIe 3.0 Phase jitter: 0.48ps RMS (High Freq. Typ.) LVDS compatible outputs Supply voltage of 3.3V ±5% 25MHz crystal or clock input

More information

OP-SFP Gbps SFP+ Transceiver

OP-SFP Gbps SFP+ Transceiver 10.3Gbps SFP+ Transceiver Product Description The OP-SFP + -300 series multi-mode transceivers are SFP + module for bi-directional serial optical data communications such as10gbase-sr and 10GBASE-SW. It

More information

ISL41387EVAL1Z User s Manual

ISL41387EVAL1Z User s Manual ISL4387EVALZ User s Manual Application Note AN248. Description The ISL4387 evaluation board is RoHS compliant, and provides a quick and easy method for evaluating this Dual Protocol IC. The eval board

More information

FIN3385 FIN3383 Low Voltage 28-Bit Flat Panel Display Link Serializers

FIN3385 FIN3383 Low Voltage 28-Bit Flat Panel Display Link Serializers October 2003 Revised January 2004 FIN3385 FIN3383 Low Voltage 28-Bit Flat Panel Display Link Serializers General Description The FIN3385 and FIN3383 transform 28 bit wide parallel LVTTL (Low Voltage TTL)

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 6-5-15 Any assistance, services, comments, information, or suggestions provided by Microchip (including without limitation any comments to the effect that the

More information

ARC-48: 8-Channel CCD Video Processing Board

ARC-48: 8-Channel CCD Video Processing Board ARC-48: 8-Channel CCD Video Processing Board This manual describes the 8-channel CCD video processor board, model ARC-48 Rev. 1A. The board serves two functions - processing and digitizing the video outputs

More information

Features. Applications

Features. Applications 3.3V Ultra-Precision 1:4 LVDS Fanout Buffer/Translator with Internal Termination General Description The is a 3.3V, high-speed 2GHz differential low voltage differential swing (LVDS) 1:4 fanout buffer

More information

SFP+ SR Optical Transceiver

SFP+ SR Optical Transceiver Small Form-Factor Pluggable (SFP+) Fibre Optic Transceivers are compact transceivers used to interface networking devices to fibre or copper networking cables in telecom and data applications. We offer

More information

InfiniBand FDR 56-Gbps QSFP+ Active Optical Cable PN: WST-QS56-AOC-Cxx

InfiniBand FDR 56-Gbps QSFP+ Active Optical Cable PN: WST-QS56-AOC-Cxx Data Sheet PN: General Description WaveSplitter s Quad Small Form-Factor Pluggable Plus (QSFP+) active optical cables (AOC) are highperformance active optical cable with bi-directional signal transmission

More information

P375 Data Sheet & Applications Notes. PG3A Pattern Generator P375 Variable Universal probe April Rev 1.0

P375 Data Sheet & Applications Notes. PG3A Pattern Generator P375 Variable Universal probe April Rev 1.0 P375 Data Sheet & Applications Notes PG3A Pattern Generator P375 Variable Universal probe April 2008 - Rev 1.0 PG3A Pattern Generator P375 Variable Universal probe 1.0 General: The P375 probe is a variable

More information

A4988 Stepper Motor Driver Carrier, Black Edition

A4988 Stepper Motor Driver Carrier, Black Edition A4988 Stepper Motor Driver Carrier, Black Edition A4988 stepper motor driver carrier, Black Edition, bottom view with dimensions. Overview This product is a carrier board or breakout board for Allegro

More information

SY55854U. General Description. Features. Functional Block Diagram. Applications. 2 x 2 Protection Crosspoint Switch

SY55854U. General Description. Features. Functional Block Diagram. Applications. 2 x 2 Protection Crosspoint Switch 2 x 2 Protection Crosspoint Switch General Description The is a fully differential, CML, 2 x 2-crosspoint switch. The non-blocking design allows any input to be connected to any output. Varying the state

More information

Proposal for SAS 2.x Specification to Enable Support for Active Cables

Proposal for SAS 2.x Specification to Enable Support for Active Cables 08-052r2 Proposal for SAS 2.x Specification to Enable Support for Active Cables Gourgen Oganessyan QUELLAN March 7, 2008 Introduction Inclusion of active cable interconnect option into the SAS specification

More information

Pin Configuration and Selector Guide appear at end of data sheet. Typical Operating Circuits

Pin Configuration and Selector Guide appear at end of data sheet. Typical Operating Circuits Rev 2; 4/08 106.25MHz/212.5MHz/425MHz General Description The DS4106, DS4212, and DS4425 ceramic surfacemount crystal oscillators are part of Maxim s DS4-XO series of crystal oscillators. These devices

More information

EVALUATION KIT AVAILABLE High-Bandwidth, VGA 2:1 Switch with ±15kV ESD Protection

EVALUATION KIT AVAILABLE High-Bandwidth, VGA 2:1 Switch with ±15kV ESD Protection 19-5; Rev ; 1/9 EVALUATION KIT AVAILABLE High-Bandwidth, VGA :1 Switch General Description The integrates high-bandwidth analog switches, level-translating buffers, and level-translating FET switches to

More information

SmartFan Vortex. I2C Speed Control for 12 VDC Fans CONTROL RESOURCES INCORPORATED. The driving force of motor control & electronics cooling.

SmartFan Vortex. I2C Speed Control for 12 VDC Fans CONTROL RESOURCES INCORPORATED. The driving force of motor control & electronics cooling. The driving force of motor control & electronics cooling. SmartFan Vortex I2C Speed Control for 12 VDC Fans DC Controls P/N VOR5I400F SmartFan Vortex is an I2C fan speed control and alarm designed for

More information

User s Guide. Mixed Signal DSP Solutions SLLU011

User s Guide. Mixed Signal DSP Solutions SLLU011 User s Guide July 2000 Mixed Signal DSP Solutions SLLU011 IMPORTANT NOTICE Texas Instruments and its subsidiaries (TI) reserve the right to make changes to their products or to discontinue any product

More information

GT Micro D High Speed Characterization Report For Differential Data Applications. Micro-D High Speed Characterization Report

GT Micro D High Speed Characterization Report For Differential Data Applications. Micro-D High Speed Characterization Report GT-14-19 Micro D For Differential Data Applications GMR7580-9S1BXX PCB Mount MWDM2L-9P-XXX-XX Cable Mount Revision History Rev Date Approved Description A 4/10/2014 C. Parsons/D. Armani Initial Release

More information

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.244 Gbps V/3.3 V Supply Operation Selectable

More information

CLK1, CLKIN, CLKOUT, OUTA, OUTB

CLK1, CLKIN, CLKOUT, OUTA, OUTB 9-82; Rev 2; 5/ Evaluation Kit General Description The evaluation kit (EV kit) is a fully assembled and tested circuit board that contains all the components necessary for evaluating the. The is a dual,0-bit,

More information

AD8159 Evaluation Board Application Guide EVAL-AD8159-AC/DC

AD8159 Evaluation Board Application Guide EVAL-AD8159-AC/DC FEATURES Facilitates evaluation of the AD8159 Either ac- or dc-coupled versions Optimized layout GENERAL DESCRIPTION The AD8159 evaluation board consists of 24 differential 100 Ω microstrip traces on the

More information

DS16EV5110-EVKD DVI Extender Demo Kit for DVI Cables

DS16EV5110-EVKD DVI Extender Demo Kit for DVI Cables DS16EV5110-EVKD DVI Extender Demo Kit for DVI Cables General Description The DS16EV5110-EVKD DVI Cable Extender Demo Kit provides a complete DVI system extension solution using National s DS16EV5110 -

More information

Access PIN-TIA Receivers for 155 Mb/s and 622 Mb/s EDR 51xx Series

Access PIN-TIA Receivers for 155 Mb/s and 622 Mb/s EDR 51xx Series COMMUNICATIONS COMPONENTS Access PIN- Receivers for 55 Mb/s and 6 Mb/s EDR 5xx Series Applications Single mode 55 Mb/s (EDR 5x) and 6 Mb/s (EDR 55x) ATM receivers Campus network backbone - Add/drop multiplexers

More information

ES-362U PRESETTABLE MASTER TIMER

ES-362U PRESETTABLE MASTER TIMER 142 SIERRA ST., EL SEGUNDO, CA 90245 USA (310)322-2136 FAX (310)322-8127 www.ese-web.com ES-362U PRESETTABLE MASTER TIMER OPERATION AND MAINTENANCE MANUAL The ES-362U is a four digit, presettable 100 minute

More information

SPECIFICATION AND PERFORMANCE CHARACTERISTICS OF DVI MALE AND FEMALE CONNECTOR SERIES

SPECIFICATION AND PERFORMANCE CHARACTERISTICS OF DVI MALE AND FEMALE CONNECTOR SERIES SPECIFICATION AND PERFORMANCE CHARACTERISTICS OF DVI MALE AND FEMALE CONNECTOR SERIES CIRCUIT ASSEMBLY CORP. 18 THOMAS STREET, IRVINE, CA 92618-2777 Page No. 1 CONTENTS CLAUSE PAGE 1.0 SCOPE.. 3 2.0 APPLICABLE

More information

DS WIRE INTERFACE 11 DECOUPLING CAP GND

DS WIRE INTERFACE 11 DECOUPLING CAP GND Rev ; 4/3 Hex Nonvolatile Potentiometer with General Description The contains six 256-position nonvolatile (NV) potentiometers, 64 bytes of NV user EEPROM memory, and four programmable NV I/O pins. The

More information

AN_8430_002 April 2011

AN_8430_002 April 2011 A Maxim Integrated Products Brand 78Q8430 10/100 Ethernet MAC and PHY APPLICATION NOTE AN_8430_002 April 2011 Introduction 78Q8430 Layout Guidelines The TSC 78Q8430 is a single chip 10Base-T/100Base-TX

More information

DIGITAL AUDIO DELAY MODULE (DADM) INSTRUCTIONS

DIGITAL AUDIO DELAY MODULE (DADM) INSTRUCTIONS DIGITAL AUDIO DELAY MODULE (DADM) INSTRUCTIONS Thank you for purchasing the ICS Digital Audio Delay Module! PRODUCT DESCRIPTION The Digital Audio Delay Module (DADM) is an enhanced replacement for the

More information

64 Gbaud PAM4 DAC G0374A

64 Gbaud PAM4 DAC G0374A Quick Start Guide 64 Gbaud PAM4 DAC G0374A Signal Quality Analyzer MP1900A/MP1800A Series 64 Gbaud PAM4 DAC Overview Features Operating baud rate: DC to 64 Gbaud Half-rate Data and Clock inputs High quality

More information

Proposal for SAS 2.1 Specification to Enable Support for Active Cables

Proposal for SAS 2.1 Specification to Enable Support for Active Cables 08-358r3 Proposal for SAS 2.1 Specification to Enable Support for Active Cables Revision 13 Gourgen Oganessyan QUELLAN January 12, 2009 Introduction Inclusion of active cable interconnect option into the

More information

Vertical Launch Connectors

Vertical Launch Connectors Microwave Farm s Vertical Launch Connectors are specially designed for solderless vertical PCB launch on test & measurement board. These connectors have excellent electrical transition performance up to

More information

10GBASE-CX4 Copper XFP Transceiver

10GBASE-CX4 Copper XFP Transceiver 10GBASE-CX4 Copper XFP Transceiver XFP-CX4 Features 10GBASE-CX4 compliant (IEEE 802.3ak) Overview The XFP-CX4 copper transceiver from MRV Communications provides cost-effective and reliable bandwidth transport

More information

MAX3872/MAX3874 Evaluation Kits

MAX3872/MAX3874 Evaluation Kits 9-2767; Rev 0, 2/03 MAX3872/MAX3874 Evaluation Kits General Description The MAX3872/MAX3874 evaluation kits (EV kits) simplify evaluation of the MAX3872 and MAX3874 clock and data recovery with limiting

More information

BLOCK DIAGRAM PIN ASSIGNMENT ICS LOW SKEW, DUAL, 1-TO-5 DIFFERENTIAL- TO-LVDS FANOUT BUFFER PRELIMINARY ICS854210

BLOCK DIAGRAM PIN ASSIGNMENT ICS LOW SKEW, DUAL, 1-TO-5 DIFFERENTIAL- TO-LVDS FANOUT BUFFER PRELIMINARY ICS854210 LOW SKEW, DUAL, 1-TO-5 DIFFERENTIAL- TO-LVDS FANOUT BUFFER ICS854210 GENERAL DESCRIPTION The ICS854210 is a low skew, high performance ICS dual 1-to-5 Differential-to-LVDS Fanout Buffer HiPerClockS and

More information

MK5 5-Axis Controller

MK5 5-Axis Controller MK5 5-Axis Controller Technical Reference Manual PCB Rev 1.0 2010 SOC Robotics, Inc. 1 Manual Rev 0.91 Introduction The MK5 is a 5-Axis breakout board that accepts the MM120, MM130, MM133 or MM220 stepper

More information