Performance Trade-Offs in Using NVRAM Write Buffer for Flash Memory-Based Storage Devices

Size: px
Start display at page:

Download "Performance Trade-Offs in Using NVRAM Write Buffer for Flash Memory-Based Storage Devices"

Transcription

1 Performance Trade-Offs in Using NVRAM Write Buffer for Flash Memory-Based Storage Devices Sooyong Kang, Sungmin Park, Hoyoung Jung, Hyoki Shim, and Jaehyuk Cha IEEE TRANSACTIONS ON COMPUTERS, VOL. 8, NO., JUNE 009

2 Outline Introduction Backgrounds NVRAM write buffer management polices Performance evaluation Optimistic FTL Conclusion

3 Introduction(/) Flash memory has a few drawbacks, such as the asymmetric speed of read and write operations, inability to in-place updates, very slow erasure operation, among others. One of the approaches to achieve the objective is by exploiting the buffer cache in volatile memory to delay write operations.

4 Introduction(/) Using nonvolatile random access memory (NVRAM) as a write buffer for a slow storage device has long been an active research area. For the past decade, next-generation nonvolatile memory has been under active development.

5 Introduction(/) In this paper, we suggest the utilization of smallsized, next-generation NVRAM as a write buffer to improve the overall performance. DRAM We propose a novel write buffer-aware flash translation layer algorithm, optimistic FTL, which is designed to harmonize well with SSD NVRAM write buffers. Write buffer

6 Backgrounds(/) Characteristics of the NAND Flash Memory Three basic operations Few drawbacks :. Asymmetric operations speed. Inability to in-place update. Limited lifetime. Random page write prohibition within a block

7 Backgrounds(/) Flash Translation Layer (FTL) It provides a few core functionalities such as address mapping, bad block management, and ECC check. The overall performance of the flash memorybased storage system highly depends on the mapping scheme. 7

8 Backgrounds(/) Log Block-Based Address Mapping There are two representative log block schemes: BAST and FAST. When there is no available log block, they select a victim log block and merge it with its corresponding data block(s) a merge operation. 8

9 Backgrounds(/) Three different forms of merge operations : Switch merge Partial merge Full merge data 0 log Full merge : data 0 erase + page copy data 0 Switch merge : log data 0 data 0 log 0 data 0 erase + 0 page copy Partial merge : erase + n page copy n 9

10 NVRAM write buffer management polices for flash memory Least Recently Used Page (LRU-P) Policy (page-level management) Least Recently Used Cluster (LRU-C) Policy Largest Cluster (LC) Policy Cold and Largest Cluster (CLC) Policy 0

11 NVRAM write buffer management polices for flash memory data A C log L A C Full merge FTL(BAST) A A A A B L B B B B B

12 data A A C log data L C A A switch merge L FTL(BAST) A A A B A L B B B B B

13 NVRAM write buffer management polices for flash memory Least Recently Used Page (LRU-P) Policy The replacement unit is 9 a 8 page and the least recently used (written) page in the buffer is selected as a 8 9 spaces victim. MRU Least Recently Used Cluster (LRU-C) Policy The replacement unit is 9 a 8 page cluster and the least recently accessed cluster is selected as a victim. 8 9 LRU spaces MRU LRU

14 NVRAM write buffer management polices for flash memory Largest Cluster (LC) Policy The replacement unit is a page cluster and the page cluster with the largest cluster size is selected as a victim. Largest

15 NVRAM write buffer management polices for flash memory Cold and Largest Cluster (CLC) Policy

16 Evaluation Storage device : Samsung K9NBG08UA -Gbit large block flash memory Using BAST and FAST I/O trace : FAT and NTFS

17 a) Page hit ratio b) number of destaged clusters c) average size of victim clusters 7

18 Performance Comparison : FAT trace BAST: number of log blocks = FAST: number of log blocks = 8

19 Performance Comparison : NTFS trace BAST: number of log blocks = FAST: number of log blocks = 9

20 Performance of CLC policy CLC = α *LRUC +(-α)lc α =0. 0

21 Optimistic FTL Log block management : a) Append operation b) Data block switch operation c) Log block switch operation N B : the block size in number of pages LPI stores the index of the last page stored in the log block. I min and I max be the smallest and largest page index in the victim cluster, respectively.

22 Append operation When LPI < I min : No erase operation is needed. Data block log block Data block log block 7 8 Victim cluster 7 8 LPI = ; I min = ; I max = ; LPI = ;

23 Log block switch operation(/) When I min LPI : Update map Data block log block Free block Data block log block 7 8 Erase Victim cluster 7 8 Free block LPI = ; I min = ; I max = ; LPI = ;

24 Log block switch operation(/) When I max LPI : Update map Data block log block Free block Data block log block 7 8 Erase Free block LPI = ; I min = ; I max = ; Victim cluster Extra cost : 7 8 LPI (I max - I min +) = LPI - I max +I min - LPI = ;

25 Data block switch operation When I max LPI : Update map Data block 7 8 Erase Free block log block Free block LPI = ; I min = ; I max = ; Victim cluster Update map Data block 7 8 log block Extra cost : N B - LPI +I min - LPI = ;

26 Merge latencies in each FTL algorithm BAST FAST Optimistic FTL

27 Extra overhead in each FTL algorithm. FAT trace NTFS trace 7

28 Conclusion The CLC policy not only exploits the temporal locality but also maximizes the number of simultaneously destaged pages. Simulation results have shown that the CLC policy outperforms traditional pagelevel LRU policy (LRU-P) by a maximum of percent. 8

744 IEEE TRANSACTIONS ON COMPUTERS, VOL. 58, NO. 6, JUNE 2009

744 IEEE TRANSACTIONS ON COMPUTERS, VOL. 58, NO. 6, JUNE 2009 744 IEEE TRANSACTIONS ON COMPUTERS, VOL. 58, NO. 6, JUNE 2009 Performance Trade-Offs in Using NVRAM Write Buffer for Flash Memory-Based Storage Devices Sooyong Kang, Sungmin Park, Hoyoung Jung, Hyoki Shim,

More information

A Memory Management Scheme for Hybrid Memory Architecture in Mission Critical Computers

A Memory Management Scheme for Hybrid Memory Architecture in Mission Critical Computers A Memory Management Scheme for Hybrid Memory Architecture in Mission Critical Computers Soohyun Yang and Yeonseung Ryu Department of Computer Engineering, Myongji University Yongin, Gyeonggi-do, Korea

More information

BPCLC: An Efficient Write Buffer Management Scheme for Flash-Based Solid State Disks

BPCLC: An Efficient Write Buffer Management Scheme for Flash-Based Solid State Disks BPCLC: An Efficient Write Buffer Management Scheme for Flash-Based Solid State Disks Hui Zhao 1, Peiquan Jin *1, Puyuan Yang 1, Lihua Yue 1 1 School of Computer Science and Technology, University of Science

More information

Cooperating Write Buffer Cache and Virtual Memory Management for Flash Memory Based Systems

Cooperating Write Buffer Cache and Virtual Memory Management for Flash Memory Based Systems Cooperating Write Buffer Cache and Virtual Memory Management for Flash Memory Based Systems Liang Shi, Chun Jason Xue and Xuehai Zhou Joint Research Lab of Excellence, CityU-USTC Advanced Research Institute,

More information

LBM: A Low-power Buffer Management Policy for Heterogeneous Storage in Mobile Consumer Devices

LBM: A Low-power Buffer Management Policy for Heterogeneous Storage in Mobile Consumer Devices LBM: A Low-power Buffer Management Policy for Heterogeneous Storage in Mobile Consumer Devices Hyojung Kang Department of Computer Science, Ewha University, Seoul, Korea Junseok Park Semiconductor Business,

More information

Storage Architecture and Software Support for SLC/MLC Combined Flash Memory

Storage Architecture and Software Support for SLC/MLC Combined Flash Memory Storage Architecture and Software Support for SLC/MLC Combined Flash Memory Soojun Im and Dongkun Shin Sungkyunkwan University Suwon, Korea {lang33, dongkun}@skku.edu ABSTRACT We propose a novel flash

More information

Plugging versus Logging: A New Approach to Write Buffer Management for Solid-State Disks

Plugging versus Logging: A New Approach to Write Buffer Management for Solid-State Disks Plugging versus Logging: A New Approach to Write Buffer Management for Solid-State Disks Li-Pin Chang, You-Chiuan Su Department of Computer Science National Chiao-Tung University The 48-th Design Automation

More information

A Buffer Replacement Algorithm Exploiting Multi-Chip Parallelism in Solid State Disks

A Buffer Replacement Algorithm Exploiting Multi-Chip Parallelism in Solid State Disks A Buffer Replacement Algorithm Exploiting Multi-Chip Parallelism in Solid State Disks Jinho Seol, Hyotaek Shim, Jaegeuk Kim, and Seungryoul Maeng Division of Computer Science School of Electrical Engineering

More information

LRU-WSR: Integration of LRU and Writes Sequence Reordering for Flash Memory

LRU-WSR: Integration of LRU and Writes Sequence Reordering for Flash Memory H. Jung et al.: LRU-WSR: Integration of LRU and Writes Sequence Reordering for Flash Memory LRU-WSR: Integration of LRU and Writes Sequence Reordering for Flash Memory 1215 Hoyoung Jung, Hyoki Shim, Sungmin

More information

Page Mapping Scheme to Support Secure File Deletion for NANDbased Block Devices

Page Mapping Scheme to Support Secure File Deletion for NANDbased Block Devices Page Mapping Scheme to Support Secure File Deletion for NANDbased Block Devices Ilhoon Shin Seoul National University of Science & Technology ilhoon.shin@snut.ac.kr Abstract As the amount of digitized

More information

Reorder the Write Sequence by Virtual Write Buffer to Extend SSD s Lifespan

Reorder the Write Sequence by Virtual Write Buffer to Extend SSD s Lifespan Reorder the Write Sequence by Virtual Write Buffer to Extend SSD s Lifespan Zhiguang Chen, Fang Liu, and Yimo Du School of Computer, National University of Defense Technology Changsha, China chenzhiguanghit@gmail.com,

More information

A Page-Based Storage Framework for Phase Change Memory

A Page-Based Storage Framework for Phase Change Memory A Page-Based Storage Framework for Phase Change Memory Peiquan Jin, Zhangling Wu, Xiaoliang Wang, Xingjun Hao, Lihua Yue University of Science and Technology of China 2017.5.19 Outline Background Related

More information

LETTER Solid-State Disk with Double Data Rate DRAM Interface for High-Performance PCs

LETTER Solid-State Disk with Double Data Rate DRAM Interface for High-Performance PCs IEICE TRANS. INF. & SYST., VOL.E92 D, NO.4 APRIL 2009 727 LETTER Solid-State Disk with Double Data Rate DRAM Interface for High-Performance PCs Dong KIM, Kwanhu BANG, Seung-Hwan HA, Chanik PARK, Sung Woo

More information

SUPA: A Single Unified Read-Write Buffer and Pattern-Change-Aware FTL for the High Performance of Multi-Channel SSD

SUPA: A Single Unified Read-Write Buffer and Pattern-Change-Aware FTL for the High Performance of Multi-Channel SSD SUPA: A Single Unified Read-Write Buffer and Pattern-Change-Aware FTL for the High Performance of Multi-Channel SSD DONGJIN KIM, KYU HO PARK, and CHAN-HYUN YOUN, KAIST To design the write buffer and flash

More information

CFDC A Flash-aware Replacement Policy for Database Buffer Management

CFDC A Flash-aware Replacement Policy for Database Buffer Management CFDC A Flash-aware Replacement Policy for Database Buffer Management Yi Ou University of Kaiserslautern Germany Theo Härder University of Kaiserslautern Germany Peiquan Jin University of Science and Technology

More information

A Caching-Oriented FTL Design for Multi-Chipped Solid-State Disks. Yuan-Hao Chang, Wei-Lun Lu, Po-Chun Huang, Lue-Jane Lee, and Tei-Wei Kuo

A Caching-Oriented FTL Design for Multi-Chipped Solid-State Disks. Yuan-Hao Chang, Wei-Lun Lu, Po-Chun Huang, Lue-Jane Lee, and Tei-Wei Kuo A Caching-Oriented FTL Design for Multi-Chipped Solid-State Disks Yuan-Hao Chang, Wei-Lun Lu, Po-Chun Huang, Lue-Jane Lee, and Tei-Wei Kuo 1 June 4, 2011 2 Outline Introduction System Architecture A Multi-Chipped

More information

S-FTL: An Efficient Address Translation for Flash Memory by Exploiting Spatial Locality

S-FTL: An Efficient Address Translation for Flash Memory by Exploiting Spatial Locality S-FTL: An Efficient Address Translation for Flash Memory by Exploiting Spatial Locality Song Jiang, Lei Zhang, Xinhao Yuan, Hao Hu, and Yu Chen Department of Electrical and Computer Engineering Wayne State

More information

CBM: A Cooperative Buffer Management for SSD

CBM: A Cooperative Buffer Management for SSD 3 th International Conference on Massive Storage Systems and Technology (MSST 4) : A Cooperative Buffer Management for SSD Qingsong Wei, Cheng Chen, Jun Yang Data Storage Institute, A-STAR, Singapore June

More information

80 IEEE TRANSACTIONS ON COMPUTERS, VOL. 60, NO. 1, JANUARY Flash-Aware RAID Techniques for Dependable and High-Performance Flash Memory SSD

80 IEEE TRANSACTIONS ON COMPUTERS, VOL. 60, NO. 1, JANUARY Flash-Aware RAID Techniques for Dependable and High-Performance Flash Memory SSD 80 IEEE TRANSACTIONS ON COMPUTERS, VOL. 60, NO. 1, JANUARY 2011 Flash-Aware RAID Techniques for Dependable and High-Performance Flash Memory SSD Soojun Im and Dongkun Shin, Member, IEEE Abstract Solid-state

More information

Optimizing Translation Information Management in NAND Flash Memory Storage Systems

Optimizing Translation Information Management in NAND Flash Memory Storage Systems Optimizing Translation Information Management in NAND Flash Memory Storage Systems Qi Zhang 1, Xuandong Li 1, Linzhang Wang 1, Tian Zhang 1 Yi Wang 2 and Zili Shao 2 1 State Key Laboratory for Novel Software

More information

CFTL: A Convertible Flash Translation Layer with Consideration of Data Access Patterns. Technical Report

CFTL: A Convertible Flash Translation Layer with Consideration of Data Access Patterns. Technical Report : A Convertible Flash Translation Layer with Consideration of Data Access Patterns Technical Report Department of Computer Science and Engineering University of Minnesota 4-9 EECS Building Union Street

More information

LAST: Locality-Aware Sector Translation for NAND Flash Memory-Based Storage Systems

LAST: Locality-Aware Sector Translation for NAND Flash Memory-Based Storage Systems : Locality-Aware Sector Translation for NAND Flash Memory-Based Storage Systems Sungjin Lee, Dongkun Shin, Young-Jin Kim and Jihong Kim School of Information and Communication Engineering, Sungkyunkwan

More information

Clustered Page-Level Mapping for Flash Memory-Based Storage Devices

Clustered Page-Level Mapping for Flash Memory-Based Storage Devices H. Kim and D. Shin: ed Page-Level Mapping for Flash Memory-Based Storage Devices 7 ed Page-Level Mapping for Flash Memory-Based Storage Devices Hyukjoong Kim and Dongkun Shin, Member, IEEE Abstract Recent

More information

Hibachi: A Cooperative Hybrid Cache with NVRAM and DRAM for Storage Arrays

Hibachi: A Cooperative Hybrid Cache with NVRAM and DRAM for Storage Arrays Hibachi: A Cooperative Hybrid Cache with NVRAM and DRAM for Storage Arrays Ziqi Fan, Fenggang Wu, Dongchul Park 1, Jim Diehl, Doug Voigt 2, and David H.C. Du University of Minnesota, 1 Intel, 2 HP Enterprise

More information

A Novel Buffer Management Scheme for SSD

A Novel Buffer Management Scheme for SSD A Novel Buffer Management Scheme for SSD Qingsong Wei Data Storage Institute, A-STAR Singapore WEI_Qingsong@dsi.a-star.edu.sg Bozhao Gong National University of Singapore Singapore bzgong@nus.edu.sg Cheng

More information

Delayed Partial Parity Scheme for Reliable and High-Performance Flash Memory SSD

Delayed Partial Parity Scheme for Reliable and High-Performance Flash Memory SSD Delayed Partial Parity Scheme for Reliable and High-Performance Flash Memory SSD Soojun Im School of ICE Sungkyunkwan University Suwon, Korea Email: lang33@skku.edu Dongkun Shin School of ICE Sungkyunkwan

More information

Page Replacement for Write References in NAND Flash Based Virtual Memory Systems

Page Replacement for Write References in NAND Flash Based Virtual Memory Systems Regular Paper Journal of Computing Science and Engineering, Vol. 8, No. 3, September 2014, pp. 1-16 Page Replacement for Write References in NAND Flash Based Virtual Memory Systems Hyejeong Lee and Hyokyung

More information

Buffer Caching Algorithms for Storage Class RAMs

Buffer Caching Algorithms for Storage Class RAMs Issue 1, Volume 3, 29 Buffer Caching Algorithms for Storage Class RAMs Junseok Park, Hyunkyoung Choi, Hyokyung Bahn, and Kern Koh Abstract Due to recent advances in semiconductor technologies, storage

More information

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University NAND Flash-based Storage Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Today s Topics NAND flash memory Flash Translation Layer (FTL) OS implications

More information

A Mixed Flash Translation Layer Structure for SLC-MLC Combined Flash Memory System

A Mixed Flash Translation Layer Structure for SLC-MLC Combined Flash Memory System A Mixed Flash Translation Layer Structure for SLC-MLC Combined Flash Memory System Seung-Ho Park, Jung-Wook Park, Jong-Min Jeong, Jung-Hwan Kim, Shin-Dug Kim Department of Computer Science, Yonsei University,

More information

Architecture Exploration of High-Performance PCs with a Solid-State Disk

Architecture Exploration of High-Performance PCs with a Solid-State Disk Architecture Exploration of High-Performance PCs with a Solid-State Disk D. Kim, K. Bang, E.-Y. Chung School of EE, Yonsei University S. Yoon School of EE, Korea University April 21, 2010 1/53 Outline

More information

Implementing of Global Adaptive Algorithm in Read Write Access of Flash Storage Systems by managing Spatial and Temporal Localities

Implementing of Global Adaptive Algorithm in Read Write Access of Flash Storage Systems by managing Spatial and Temporal Localities International Journal of Computational Intelligence Research ISSN 0973-1873 Volume 13, Number 5 (2017), pp. 873-881 Research India Publications http://www.ripublication.com Implementing of Global Adaptive

More information

NAND Flash-based Storage. Computer Systems Laboratory Sungkyunkwan University

NAND Flash-based Storage. Computer Systems Laboratory Sungkyunkwan University NAND Flash-based Storage Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Today s Topics NAND flash memory Flash Translation Layer (FTL) OS implications

More information

NBM: An Efficient Cache Replacement Algorithm for Nonvolatile Buffer Caches

NBM: An Efficient Cache Replacement Algorithm for Nonvolatile Buffer Caches : An Efficient Cache Replacement Algorithm for Nonvolatile Buffer Caches JUNSEOK PARK and KERN KOH Seoul National University 56-1 Shillim-dong, Kwanak-gu, Seoul, 151-742 REPUBLIC OF KOREA HYUNKYOUNG CHOI

More information

Migration Based Page Caching Algorithm for a Hybrid Main Memory of DRAM and PRAM

Migration Based Page Caching Algorithm for a Hybrid Main Memory of DRAM and PRAM Migration Based Page Caching Algorithm for a Hybrid Main Memory of DRAM and PRAM Hyunchul Seok Daejeon, Korea hcseok@core.kaist.ac.kr Youngwoo Park Daejeon, Korea ywpark@core.kaist.ac.kr Kyu Ho Park Deajeon,

More information

Improving Performance of Solid State Drives in Enterprise Environment

Improving Performance of Solid State Drives in Enterprise Environment University of Nebraska - Lincoln DigitalCommons@University of Nebraska - Lincoln Computer Science and Engineering: Theses, Dissertations, and Student Research Computer Science and Engineering, Department

More information

p-oftl: An Object-based Semantic-aware Parallel Flash Translation Layer

p-oftl: An Object-based Semantic-aware Parallel Flash Translation Layer p-oftl: An Object-based Semantic-aware Parallel Flash Translation Layer Wei Wang, Youyou Lu, and Jiwu Shu Department of Computer Science and Technology, Tsinghua University, Beijing, China Tsinghua National

More information

Partitioned Real-Time NAND Flash Storage. Katherine Missimer and Rich West

Partitioned Real-Time NAND Flash Storage. Katherine Missimer and Rich West Partitioned Real-Time NAND Flash Storage Katherine Missimer and Rich West Introduction Eric Risberg AP CircuitsToday 2 Introduction Eric Risberg AP CircuitsToday Analytics Vidhya 3 Chesky_W Mapping Ignorance

More information

Page 1. Multilevel Memories (Improving performance using a little cash )

Page 1. Multilevel Memories (Improving performance using a little cash ) Page 1 Multilevel Memories (Improving performance using a little cash ) 1 Page 2 CPU-Memory Bottleneck CPU Memory Performance of high-speed computers is usually limited by memory bandwidth & latency Latency

More information

Design and Implementation for Multi-Level Cell Flash Memory Storage Systems

Design and Implementation for Multi-Level Cell Flash Memory Storage Systems Design and Implementation for Multi-Level Cell Flash Memory Storage Systems Amarnath Gaini, K Vijayalaxmi Assistant Professor Department of Electronics VITS (N9), Andhra Pradesh Sathish Mothe Assistant

More information

Chapter 12 Wear Leveling for PCM Using Hot Data Identification

Chapter 12 Wear Leveling for PCM Using Hot Data Identification Chapter 12 Wear Leveling for PCM Using Hot Data Identification Inhwan Choi and Dongkun Shin Abstract Phase change memory (PCM) is the best candidate device among next generation random access memory technologies.

More information

VSSIM: Virtual Machine based SSD Simulator

VSSIM: Virtual Machine based SSD Simulator 29 th IEEE Conference on Mass Storage Systems and Technologies (MSST) Long Beach, California, USA, May 6~10, 2013 VSSIM: Virtual Machine based SSD Simulator Jinsoo Yoo, Youjip Won, Joongwoo Hwang, Sooyong

More information

SOS : Software-based Out-of-Order Scheduling for High-Performance NAND Flash-Based SSDs

SOS : Software-based Out-of-Order Scheduling for High-Performance NAND Flash-Based SSDs SOS : Software-based Out-of-Order Scheduling for High-Performance NAND Flash-Based SSDs Sangwook Shane Hahn, Sungjin Lee and Jihong Kim Computer Architecture & Embedded Systems Laboratory School of Computer

More information

Optimizing Flash-based Key-value Cache Systems

Optimizing Flash-based Key-value Cache Systems Optimizing Flash-based Key-value Cache Systems Zhaoyan Shen, Feng Chen, Yichen Jia, Zili Shao Department of Computing, Hong Kong Polytechnic University Computer Science & Engineering, Louisiana State University

More information

SHRD: Improving Spatial Locality in Flash Storage Accesses by Sequentializing in Host and Randomizing in Device

SHRD: Improving Spatial Locality in Flash Storage Accesses by Sequentializing in Host and Randomizing in Device SHRD: Improving Spatial Locality in Flash Storage Accesses by Sequentializing in Host and Randomizing in Device Hyukjoong Kim 1, Dongkun Shin 1, Yun Ho Jeong 2 and Kyung Ho Kim 2 1 Samsung Electronics

More information

A Self Learning Algorithm for NAND Flash Controllers

A Self Learning Algorithm for NAND Flash Controllers A Self Learning Algorithm for NAND Flash Controllers Hao Zhi, Lee Firmware Manager Core Storage Electronics Corp./Phison Electronics Corp. haozhi_lee@phison.com Santa Clara, CA 1 Outline Basic FW Architecture

More information

HARD Disk Drives (HDDs) have been widely used as mass

HARD Disk Drives (HDDs) have been widely used as mass 878 IEEE TRANSACTIONS ON COMPUTERS, VOL. 59, NO. 7, JULY 2010 Architecture Exploration of High-Performance PCs with a Solid-State Disk Dong Kim, Kwanhu Bang, Student Member, IEEE, Seung-Hwan Ha, Sungroh

More information

Chapter 14 HARD: Host-Level Address Remapping Driver for Solid-State Disk

Chapter 14 HARD: Host-Level Address Remapping Driver for Solid-State Disk Chapter 14 HARD: Host-Level Address Remapping Driver for Solid-State Disk Young-Joon Jang and Dongkun Shin Abstract Recent SSDs use parallel architectures with multi-channel and multiway, and manages multiple

More information

Solid State Drives (SSDs) Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

Solid State Drives (SSDs) Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University Solid State Drives (SSDs) Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Memory Types FLASH High-density Low-cost High-speed Low-power High reliability

More information

HBM: A HYBRID BUFFER MANAGEMENT SCHEME FOR SOLID STATE DISKS GONG BOZHAO A THESIS SUBMITTED FOR THE DEGREE OF MASTER OF SCIENCE

HBM: A HYBRID BUFFER MANAGEMENT SCHEME FOR SOLID STATE DISKS GONG BOZHAO A THESIS SUBMITTED FOR THE DEGREE OF MASTER OF SCIENCE HBM: A HYBRID BUFFER MANAGEMENT SCHEME FOR SOLID STATE DISKS GONG BOZHAO A THESIS SUBMITTED FOR THE DEGREE OF MASTER OF SCIENCE DEPARTMENT OF COMPUTER SCIENCE NATIONAL UNIVERSITY OF SINGAPORE June 2010

More information

NAND Interleaving & Performance

NAND Interleaving & Performance NAND Interleaving & Performance What You Need to Know Presented by: Keith Garvin Product Architect, Datalight August 2008 1 Overview What is interleaving, why do it? Bus Level Interleaving Interleaving

More information

University of Kaiserslautern Department of Computer Science Database and Information Systems. Caching for flash-based databases

University of Kaiserslautern Department of Computer Science Database and Information Systems. Caching for flash-based databases University of Kaiserslautern Department of Computer Science Database and Information Systems Caching for flash-based databases Summer Semester 2013 Table of Contents Abstract.......................................................

More information

Spring 2018 :: CSE 502. Cache Design Basics. Nima Honarmand

Spring 2018 :: CSE 502. Cache Design Basics. Nima Honarmand Cache Design Basics Nima Honarmand Storage Hierarchy Make common case fast: Common: temporal & spatial locality Fast: smaller, more expensive memory Bigger Transfers Registers More Bandwidth Controlled

More information

A Cache Management Strategy to Replace Wear Leveling Techniques for Embedded Flash Memory

A Cache Management Strategy to Replace Wear Leveling Techniques for Embedded Flash Memory A Cache Management Strategy to Replace Wear Leveling Techniques for Embedded Flash Memory Jalil Boukhobza *, Pierre Olivier *, Stéphane Rubini + Université Européenne de Bretagne, France Université de

More information

arxiv: v1 [cs.os] 24 Jun 2015

arxiv: v1 [cs.os] 24 Jun 2015 Optimize Unsynchronized Garbage Collection in an SSD Array arxiv:1506.07566v1 [cs.os] 24 Jun 2015 Abstract Da Zheng, Randal Burns Department of Computer Science Johns Hopkins University Solid state disks

More information

C-lash: a Cache System for Optimizing NAND Flash Memory Performance and Lifetime

C-lash: a Cache System for Optimizing NAND Flash Memory Performance and Lifetime C-lash: a Cache System for Optimizing NAND Flash Memory Performance and Lifetime Jalil Boukhobza, Pierre Olivier Université Européenne de Bretagne, France Université de Brest ; CNRS, UMR 3192 Lab-STICC,

More information

ECE7995 Caching and Prefetching Techniques in Computer Systems. Lecture 8: Buffer Cache in Main Memory (I)

ECE7995 Caching and Prefetching Techniques in Computer Systems. Lecture 8: Buffer Cache in Main Memory (I) ECE7995 Caching and Prefetching Techniques in Computer Systems Lecture 8: Buffer Cache in Main Memory (I) 1 Review: The Memory Hierarchy Take advantage of the principle of locality to present the user

More information

Lecture 18: Memory Systems. Spring 2018 Jason Tang

Lecture 18: Memory Systems. Spring 2018 Jason Tang Lecture 18: Memory Systems Spring 2018 Jason Tang 1 Topics Memory hierarchy Memory operations Cache basics 2 Computer Organization Computer Processor Memory Devices Control Datapath Input Output So far,

More information

Chapter 5. Large and Fast: Exploiting Memory Hierarchy

Chapter 5. Large and Fast: Exploiting Memory Hierarchy Chapter 5 Large and Fast: Exploiting Memory Hierarchy Principle of Locality Programs access a small proportion of their address space at any time Temporal locality Items accessed recently are likely to

More information

FlashTier: A Lightweight, Consistent and Durable Storage Cache

FlashTier: A Lightweight, Consistent and Durable Storage Cache FlashTier: A Lightweight, Consistent and Durable Storage Cache Mohit Saxena PhD Candidate University of Wisconsin-Madison msaxena@cs.wisc.edu Flash Memory Summit 2012 Santa Clara, CA Flash is a Good Cache

More information

Design and Analysis of Flash Translation Layers for Multi-Channel NAND Flash-based Storage Devices

Design and Analysis of Flash Translation Layers for Multi-Channel NAND Flash-based Storage Devices 392 IEEE Transactions on Consumer Electronics, Vol. 55, No. 3, AUGUST 29 Design and Analysis of Flash Translation Layers for Multi-Channel NAND Flash-based Storage Devices Sang-Hoon Park, Seung-Hwan Ha,

More information

Parallel-DFTL: A Flash Translation Layer that Exploits Internal Parallelism in Solid State Drives

Parallel-DFTL: A Flash Translation Layer that Exploits Internal Parallelism in Solid State Drives Parallel-: A Flash Translation Layer that Exploits Internal Parallelism in Solid State Drives Wei Xie, Yong Chen and Philip C. Roth Department of Computer Science, Texas Tech University, Lubbock, TX 7943

More information

A Novel On-the-Fly NAND Flash Read Channel Parameter Estimation and Optimization

A Novel On-the-Fly NAND Flash Read Channel Parameter Estimation and Optimization A Novel On-the-Fly NAND Flash Read Channel Parameter Estimation and Optimization Tingjun Xie Staff Engineer VIA Technologies, Inc. TingjunXie@viatech.com Santa Clara, CA 1 Outline Significance of flash

More information

Rechnerarchitektur (RA)

Rechnerarchitektur (RA) 12 Rechnerarchitektur (RA) Sommersemester 2017 Flash Memory 2017/07/12 Jian-Jia Chen (Slides are based on Tei-Wei Kuo and Yuan-Hao Chang) Informatik 12 Jian-jia.chen@tu-.. http://ls12-www.cs.tu.de/daes/

More information

RMA: A Read Miss-Based Spin-Down Algorithm using an NV Cache

RMA: A Read Miss-Based Spin-Down Algorithm using an NV Cache RMA: A Read Miss-Based Spin-Down Algorithm using an NV Cache Hyotaek Shim, Jaegeuk Kim, Dawoon Jung, Jin-Soo Kim, and Seungryoul Maeng Computer Science Department at KAIST {htsim, jgkim, dwjung}@camars.kaist.ac.kr

More information

A Superblock-based Memory Adapter Using Decoupled Dual Buffers for Hiding the Access Latency of Non-volatile Memory

A Superblock-based Memory Adapter Using Decoupled Dual Buffers for Hiding the Access Latency of Non-volatile Memory , October 19-21, 2011, San Francisco, USA A Superblock-based Memory Adapter Using Decoupled Dual Buffers for Hiding the Access Latency of Non-volatile Memory Kwang-Su Jung, Jung-Wook Park, Charles C. Weems

More information

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Yu Cai, Saugata Ghose, Yixin Luo, Ken Mai, Onur Mutlu, Erich F. Haratsch February 6, 2017

More information

HAT: An Efficient Buffer Management Method for Flash-based Hybrid Storage Systems

HAT: An Efficient Buffer Management Method for Flash-based Hybrid Storage Systems Front.Comput.Sci. DOI RESEARCH ARTICLE HAT: An Efficient Buffer Management Method for Flash-based Hybrid Storage Systems Yanfei LV 1,2, Bin CUI 1, Xuexuan CHEN 1, Jing LI 3 1 Department of Computer Science

More information

SFS: Random Write Considered Harmful in Solid State Drives

SFS: Random Write Considered Harmful in Solid State Drives SFS: Random Write Considered Harmful in Solid State Drives Changwoo Min 1, 2, Kangnyeon Kim 1, Hyunjin Cho 2, Sang-Won Lee 1, Young Ik Eom 1 1 Sungkyunkwan University, Korea 2 Samsung Electronics, Korea

More information

Amnesic Cache Management for Non-Volatile Memory

Amnesic Cache Management for Non-Volatile Memory Amnesic Cache Management for Non-Volatile Memory Dongwoo Kang, Seungjae Baek, Jongmoo Choi Dankook University, South Korea {kangdw, baeksj, chiojm}@dankook.ac.kr Donghee Lee University of Seoul, South

More information

BROMS: Best Ratio of MLC to SLC

BROMS: Best Ratio of MLC to SLC BROMS: Best Ratio of MLC to SLC Wei Wang 1, Tao Xie 2, Deng Zhou 1 1 Computational Science Research Center, San Diego State University 2 Computer Science Department, San Diego State University Partitioned

More information

LS-LRU: A Lazy-Split LRU Buffer Replacement Policy for Flash-Based B + -tree Index *

LS-LRU: A Lazy-Split LRU Buffer Replacement Policy for Flash-Based B + -tree Index * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING XX, XXX-XXX (2013) LS-LRU: A Lazy-Split LRU Buffer Replacement Policy for Flash-Based B + -tree Index * Department of Computer Engineering Ajou University

More information

Integrating Flash Memory into the Storage Hierarchy

Integrating Flash Memory into the Storage Hierarchy Integrating Flash Memory into the Storage Hierarchy A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Biplob Kumar Debnath IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

More information

박사학위논문 Ph. D. Dissertation. Software Optimization Methods for High-Performance Flash-based Storage Devices

박사학위논문 Ph. D. Dissertation. Software Optimization Methods for High-Performance Flash-based Storage Devices 박사학위논문 Ph. D. Dissertation 고성능플래시저장장치를위한소프트웨어최적화기법 Software Optimization Methods for High-Performance Flash-based Storage Devices 박선영 ( 朴善英 Park, Seon-yeong) 전산학과 Department of Computer Science KAIST 2011

More information

Presented by: Nafiseh Mahmoudi Spring 2017

Presented by: Nafiseh Mahmoudi Spring 2017 Presented by: Nafiseh Mahmoudi Spring 2017 Authors: Publication: Type: ACM Transactions on Storage (TOS), 2016 Research Paper 2 High speed data processing demands high storage I/O performance. Flash memory

More information

Caching less for better performance: Balancing cache size and update cost of flash memory cache in hybrid storage systems"

Caching less for better performance: Balancing cache size and update cost of flash memory cache in hybrid storage systems Caching less for better performance: Balancing cache size and update cost of flash memory cache in hybrid storage systems" Yongseok Oh" Jongmoo Choi! University of Seoul! {ysoh,dhl_express}@uos.ac.kr Donghee

More information

Course Outline. Processes CPU Scheduling Synchronization & Deadlock Memory Management File Systems & I/O Distributed Systems

Course Outline. Processes CPU Scheduling Synchronization & Deadlock Memory Management File Systems & I/O Distributed Systems Course Outline Processes CPU Scheduling Synchronization & Deadlock Memory Management File Systems & I/O Distributed Systems 1 Today: Memory Management Terminology Uniprogramming Multiprogramming Contiguous

More information

Prediction of Elapsed Time based Wear Leveling for NAND Flash Memory in Embedded Systems

Prediction of Elapsed Time based Wear Leveling for NAND Flash Memory in Embedded Systems Prediction of Elapsed Time based Wear Leveling for NAND Flash Memory in Embedded Systems Sung Ho Kim Ph. D. Candidate, Department of Computer Engineering, Yeungnam University, 214-1 Dae-dong, Gyeongsan-si,

More information

A File-System-Aware FTL Design for Flash Memory Storage Systems

A File-System-Aware FTL Design for Flash Memory Storage Systems 1 A File-System-Aware FTL Design for Flash Memory Storage Systems Po-Liang Wu, Yuan-Hao Chang, Po-Chun Huang, and Tei-Wei Kuo National Taiwan University 2 Outline Introduction File Systems Observations

More information

Flash Memory Based Storage System

Flash Memory Based Storage System Flash Memory Based Storage System References SmartSaver: Turning Flash Drive into a Disk Energy Saver for Mobile Computers, ISLPED 06 Energy-Aware Flash Memory Management in Virtual Memory System, islped

More information

Health-Binning Maximizing the Performance and the Endurance of Consumer-Level NAND Flash

Health-Binning Maximizing the Performance and the Endurance of Consumer-Level NAND Flash Health-Binning Maximizing the Performance and the Endurance of Consumer-Level NAND Flash Roman Pletka, Saša Tomić IBM Research Zurich Systor 2016, Haifa, Israel June 6, 2016 1 Outline and Motivation Introduction

More information

Purity: building fast, highly-available enterprise flash storage from commodity components

Purity: building fast, highly-available enterprise flash storage from commodity components Purity: building fast, highly-available enterprise flash storage from commodity components J. Colgrove, J. Davis, J. Hayes, E. Miller, C. Sandvig, R. Sears, A. Tamches, N. Vachharajani, and F. Wang 0 Gala

More information

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension DPA: A data pattern aware error prevention technique for NAND flash lifetime extension *Jie Guo, *Zhijie Chen, **Danghui Wang, ***Zili Shao, *Yiran Chen *University of Pittsburgh **Northwestern Polytechnical

More information

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University NAND Flash-based Storage Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Today s Topics NAND flash memory Flash Translation Layer (FTL) OS implications

More information

OSSD: A Case for Object-based Solid State Drives

OSSD: A Case for Object-based Solid State Drives MSST 2013 2013/5/10 OSSD: A Case for Object-based Solid State Drives Young-Sik Lee Sang-Hoon Kim, Seungryoul Maeng, KAIST Jaesoo Lee, Chanik Park, Samsung Jin-Soo Kim, Sungkyunkwan Univ. SSD Desktop Laptop

More information

Reducing Excessive Journaling Overhead with Small-Sized NVRAM for Mobile Devices

Reducing Excessive Journaling Overhead with Small-Sized NVRAM for Mobile Devices Reducing Excessive Journaling Overhead with Small-Sized NVRAM for Mobile Devices Junghoon Kim, Changwoo Min, and Young Ik Eom Abstract Journaling techniques are widely used to guarantee file system consistency

More information

Using Transparent Compression to Improve SSD-based I/O Caches

Using Transparent Compression to Improve SSD-based I/O Caches Using Transparent Compression to Improve SSD-based I/O Caches Thanos Makatos, Yannis Klonatos, Manolis Marazakis, Michail D. Flouris, and Angelos Bilas {mcatos,klonatos,maraz,flouris,bilas}@ics.forth.gr

More information

Unblinding the OS to Optimize User-Perceived Flash SSD Latency

Unblinding the OS to Optimize User-Perceived Flash SSD Latency Unblinding the OS to Optimize User-Perceived Flash SSD Latency Woong Shin *, Jaehyun Park **, Heon Y. Yeom * * Seoul National University ** Arizona State University USENIX HotStorage 2016 Jun. 21, 2016

More information

Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression

Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression Xuebin Zhang, Jiangpeng Li, Hao Wang, Kai Zhao and Tong Zhang xuebinzhang.rpi@gmail.com ECSE Department,

More information

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Wei Wang 1, Tao Xie 2, Antoine Khoueir 3, Youngpil Kim 3 1 Computational Science Research Center, San Diego State University

More information

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University NAND Flash-based Storage Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Today s Topics NAND flash memory Flash Translation Layer (FTL) OS implications

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Open-Channel SSDs Offer the Flexibility Required by Hyperscale Infrastructure Matias Bjørling CNEX Labs

Open-Channel SSDs Offer the Flexibility Required by Hyperscale Infrastructure Matias Bjørling CNEX Labs Open-Channel SSDs Offer the Flexibility Required by Hyperscale Infrastructure Matias Bjørling CNEX Labs 1 Public and Private Cloud Providers 2 Workloads and Applications Multi-Tenancy Databases Instance

More information

COS 318: Operating Systems. Storage Devices. Vivek Pai Computer Science Department Princeton University

COS 318: Operating Systems. Storage Devices. Vivek Pai Computer Science Department Princeton University COS 318: Operating Systems Storage Devices Vivek Pai Computer Science Department Princeton University http://www.cs.princeton.edu/courses/archive/fall11/cos318/ Today s Topics Magnetic disks Magnetic disk

More information

Algorithms Designs and Implementations for Page Allocation in SSD Firmware and SSD Caching in Storage Systems

Algorithms Designs and Implementations for Page Allocation in SSD Firmware and SSD Caching in Storage Systems Algorithms Designs and Implementations for Page Allocation in SSD Firmware and SSD Caching in Storage Systems Thesis Presented in Partial Fulfillment of the Requirements for the Degre Master of Science

More information

Meta Paged Flash Translation Layer

Meta Paged Flash Translation Layer Meta Paged Flash Translation Layer Abstract -- Today NAND Flash Memory is used in handheld electronic devices like mobile, cameras, ipods, music players, is also used as an alternative storage medium for

More information

FAB: Flash-Aware Buffer Management Policy for Portable Media Players

FAB: Flash-Aware Buffer Management Policy for Portable Media Players H. Jo et al.: FAB: Flash-Aware Buffer Management Policy for Portable Media Players 485 FAB: Flash-Aware Buffer Management Policy for Portable Media Players Heeseung Jo, Jeong-Uk Kang, Seon-Yeong Park,

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Memory Hierarchy & Caches Motivation 10000 Performance 1000 100 10 Processor Memory 1 1985 1990 1995 2000 2005 2010 Want memory to appear: As fast as CPU As large as required

More information

I/O Devices & SSD. Dongkun Shin, SKKU

I/O Devices & SSD. Dongkun Shin, SKKU I/O Devices & SSD 1 System Architecture Hierarchical approach Memory bus CPU and memory Fastest I/O bus e.g., PCI Graphics and higherperformance I/O devices Peripheral bus SCSI, SATA, or USB Connect many

More information

Differential RAID: Rethinking RAID for SSD Reliability

Differential RAID: Rethinking RAID for SSD Reliability Differential RAID: Rethinking RAID for SSD Reliability Mahesh Balakrishnan Asim Kadav 1, Vijayan Prabhakaran, Dahlia Malkhi Microsoft Research Silicon Valley 1 The University of Wisconsin-Madison Solid

More information