SmartScan - Hierarchical Test Compression for Pin-limited Low Power Designs

Size: px
Start display at page:

Download "SmartScan - Hierarchical Test Compression for Pin-limited Low Power Designs"

Transcription

1 - Hierarchical Test Compression for Pin-limited Low Power Designs K. Chakravadhanula *, V. Chickermane *, D. Pearl *, A. Garg #, R. Khurana #, S. Mukherjee #, P. Nagaraj + Encounter Test R&D, Front End Design Group, Cadence Design Systems * Endicott, NY, USA + San Jose, CA, USA # Noida, UP, India Abstract IP cores that are embedded in SoCs usually include embedded test compression hardware. When multiple cores are embedded in a SoC with limited tester-contacted pins, there is a need for a structured test-access mechanism (TAM) architecture that allows compressed test data stimuli and responses to be efficiently distributed to the embedded cores. This paper presents, a TAM architecture that is based on time-domain multiplexing of compressed data. Results on industrial designs show that high quality compressed ATPG patterns can be efficiently re-applied in a very low-pin SoC test environment with very low overhead. 1. Introduction The proliferation of portable electronic devices has placed a greater focus on the efficient testing of these devices both on the test floor and in the user environment. Increasingly, SoCs are built with DFT-ready IP cores that use embedded test compression hardware. When multiple cores are integrated in a SoC there is a test bandwidth challenge when the narrow test access mechanism (TAM) at the top-level has to feed to the wider TAM at the core-level. SoC test pins are limited either by cost considerations high-speed tester pins are expensive or by test throughput considerations such as multi-site testing. Core-level DFT designers on the other hand favor a large multiplicity of short scan chains for the increased flexibility, leading to wider TAMs. correlation also increases. High correlation can impact the ability of automatic test pattern generation (ATPG) engines to generate tests for many faults in compression mode. Figure 2 shows how correlation can be a barrier to the effectiveness of combinational compression architectures when the scan bandwidth is reduced. The chart plots the fault coverage in the compression (XOR) mode such as described in [3] and (bypass) modes for different number of scanin (and scanout) pins. As expected, the coverage in the bypass mode is independent of the number of scan pins and stays at a consistently high number. Reasonably high fault coverage was obtained in the compression mode with 5 or more scanin pins. As the number of scanin (and scanout) pins goes down, the coverage achievable in compression is significantly reduced. This requires more top-up patterns in bypass mode to achieve the target fault coverage, negatively impacting the effective compression achieved. Fault Coverage (%) COMPRESSION FULLSCAN Number of scan in pins Figure 1. Generalized compression architecture Figure 1 shows a generalized combinational compression scheme that also supports X-masking [1-4]. The decompressor is directly connected to scanins and the compacted response is visible at scanouts. The mask enable (CME) determines on a per scan cycle basis whether an X captured in the internal scan chains is to be blocked from transfer into the compressor. The ratio of number of internal scan chains to the number of scanin pins is called the target compression ratio and when all the scan chains are balanced it is a close approximation of the compression in tester cycles achieved. As the compression ratio increases, the number of internal chains that get identical data due to data Figure 2. Effect of reducing the TAM bandwidth This paper presents a generalized time-multiplexed TAM architecture called that enables the efficient interconnection of core-level TAMs to SoC TAMs, allowing efficient distribution of compressed test data streams to and from embedded cores. The key idea is to serialize the compressed streams of test data and control bits at the corelevel and allow the SoC designer a flexible way to interconnect the core-level TAMs to the top-level tester pins. The presented method is especially beneficial for low power designs where shifting all the scan chains concurrently will cause unacceptable level of switching activity and may lead to IR drop issues or damage the chip due to overheating. The paper is organized as follows. Section 2 describes previous work. An overview of the architecture Paper 4.2 INTERNATIONAL TEST CONFERENCE /13/$ IEEE

2 is presented in Section 3 and Section 4 describes structured hierarchical interconnection architectures and unique low power aspects. Section 5 describes physically-aware layout of using scan pipelines. Section 6 describes interfacing with and P1687 access mechanisms. The paper then provides experimental results and conclusions. 2. Previous Work Some of the earliest work in this area was described in [5], [6] and [7] in the context of efficiently partitioning test resources between ATE electronics and on-chip DFT structures. ATE can transfer test data at 5-20X faster than typical scan shift frequencies, but are pin-limited due to the high cost of high-speed test pins. Chips can internally support multiple scan chains, X more than the number of test pins, but can only shift at much lower speeds. The use of time domain multiplexing such as used for highspeed functional data transfer using Serializers and Deserializers was proposed to achieve the bandwidth matching. The key concept is to transfer data through a low bandwidth channel by expanding it over a wider time frame. More recent work has extended this to combine this approach with on-chip test compression based on space compactors such as XOR and LFSR based structures. These structures allow data to be transferred from a high bus-width to a lower bus-width and vice-versa with the encoding technique providing a trade-off between compressibility vs. loss of information. In [8], the authors describe a serialization scheme tailored to their proprietary method of test compression called Adaptive Scan. The target application was to connect multiple embedded cores with test compression logic to SoCs in a hierarchical design environment. Their solution works very well for their proprietary compression scheme but has some limitations when extended to a generalized compression scheme. Since the TAM is blended in with the compression logic, the tests generated at the core-level cannot be easily re-targeted to the SoC-level. A new blended ATPG model of the core has to be created for SoC-level test generation, and top-level ATPG has to be re-run for each embedded core. Some novel techniques to support as few as three test pins is described in [9]. Their target application is imaging applications which have very few digital test pins available. Based on the serialization concept they created two vendorspecific implementations to convert the parallel compressed test data stream to a serial stream. They wrote wrapper scripts to transform the ATPG generated patterns on the original core. This work requires a vendor-specific test controller and TAM and does not address generic On- Product Clock Generation (OPCG) with multiple clock domains and use in a hierarchical bottom-up flow. More recently [10] describes the practical aspects of implementing a time-division multiplexed TAM for GPUs. They also write a wrapper script to serialize the patterns and their key contribution is in their detailed descriptions of the DFT insertion, rule checking and verification. The key contributions of this work are as follows: 1) Support for generalized XOR based compressors and decompressors and not restricted to a proprietary test compression hardware 2) The time-domain multiplexing also supports serialization of X-mask data and OPCG control data for multiple clock domains 3) The ATPG patterns generated at the core-level using the parallel compressed data bus are re-used at the SoClevel and serialized concurrently enabling seamless multi-core support without any scripting. There is no reduction in test coverage or compression ratio between the parallel and serial vector sets. The serialization is built into the backend ATPG process where the internal test pattern database is written out into ATE compatible formats such as STIL or WGL. 4) The serialization is compatible with IEEE or IEEE P1687 interfaces allowing this scheme to be used at the board or system level and 3D stacks. Selective patterns from the parallel test pattern set can be easily re-applied serially using the 5-pin TAP interface. 5) A novel extension of the DFT hardware permits scan chains to be divided into interleaved scan sections so that all the scan chains do not have to shift concurrently and a pre-set shift latency allows the effective scan switching factor to be reduced by a large factor. 3. Overview Figure 3 shows a simplified view of the architecture with optional X-masking. Note that while the explanation focuses on XOR-based compression schemes, the concepts also apply to MISR-based schemes. Figure 3. architecture The two N-bit shift registers deserialize/serialize the compressed test data and interface with the tester via the SERIAL_SCAN_IN and SERIAL_SCAN_OUT pins. It takes N cycles to load the input shift register (i.e., deserializer) with the compressed data for a single bit-slice of the scan chains. Once the deserializer is loaded, the clock to the internal scan chains is fired and data is transferred into the internal scan chains. Similarly the output serial shift register (serializer) does a parallel capture of the functional response data from the internal scan chains and serially shifts it out. The deserializer and serializer shift operations Paper 4.2 INTERNATIONAL TEST CONFERENCE 2

3 are overlapped such that when new data is shifted in via the SERIAL_SCAN_IN, the response data (from the scan chains) contained in the serializer is shifted out via the SERIAL_SCAN_OUT. If X-masking is present, then every N cycles the deserializer delivers N-1 bits of scan data and one bit of mask_enable data. The mask_enable allows per scan cycle activation of the masking. Figure 4 illustrates waveforms for an example design having 8-bit deserializer (and serializer) registers and 2-bit long internal scan chains. The clock controller gates the top-level test clock to generate the clocks for the deserializer and serializer registers, the internal scan chains and for the mask registers. It uses the scan_enable and mask_load_enable signals to differentiate between the scan and mask load states. The generated scan and mask clocks are mutually exclusive and pulse once every N cycles during the scan and mask load states respectively. The only exception is upon entry into scan or mask state, where the first N cycles are needed to initialize the deserializer. Figure 4. waveform example To ensure timing-safe operation, the deserializer has an update stage that captures (in parallel) the data contained in the shift register. Once the test data is loaded into the update register on the N th deserializer clock pulse, its output is constant for the next N cycles. This allows its content to be shifted into the internal scan chains in a skew-safe manner and also prevents switching activity within the decompressor while the deserializer shifts in new data. Pattern Generation One of the key aspects of the architecture is that test generation is performed using an N-bit wide parallel scan interface that bypasses the de/serializer registers. Figure 5 shows how compressed patterns are generated using the parallel interface (N-scanin / N-scanout), and then simply retargeted to a serial interface (e.g. 1 scanin / 1 scanout or 2 scanin / 2 scanout). Each scan cycle of the parallel interface is translated into a load/unload of the deserializer/serializer registers. Figure 6 shows some details of the serial and parallel interfaces. There is a multiplexor at the output of each deserializer bit whose select is controlled by the _enable and _parallel_access signals. When _parallel_access is true, the parallel scan pin feeds the decompressor and when false the deserializer feeds the decompressor. This is allowed only when _enable is true; when false the logic is made testable as part of the chains. Note that these two signals can be internally generated test signals and do not need to be dedicated chip I/Os. (b) Figure 5. (a) test generation performed using parallel interface (b) Patterns converted to Serial Interface (a) The parallel interface patterns can be applied directly at the Automatic Test Equipment (ATE) and/or retargeted and applied using the serial interface during multi-site test, or possibly used for board/system test. If the design does not contain an N-bit wide parallel scan interface, such an interface can be modeled just for test generation purposes. Figure 6. Serial and Parallel Interfaces Key advantages of the parallel interface are: It decouples the mainstream DFT verification and pattern generation process from the hardware. The bulk of the DFT verification can be done independent of and the logic is verified only in the context of post-atpg pattern retargeting. Errors in the implementation would not require expensive iterations of the mainstream DFT and ATPG flows. It greatly reduces the correlation that would otherwise be caused by only very few scan pins driving the compression logic directly. The internal scan configuration is identical between the two interfaces (figure 6) and hence the pattern quality is identical as long as the patterns can be retargeted. Debug and diagnostics are minimally impacted, as tools can continue to diagnose using the parallel interface Paper 4.2 INTERNATIONAL TEST CONFERENCE 3

4 patterns by simply translating serial pattern fails to the corresponding cycles in the parallel patterns. Several verification checks of the logic are necessary to ensure validity of the retargeted patterns. This is done prior to the pattern conversion process and some of the key checks are as follows: Verify the switch to serial interfacee and that the deserializer is feeding the decompressor. The initial circuit state must be identical between the two interfaces, except for _parallel_access. In the serial mode, there must be a sensitized path between the Serial scanin (scanout) pin and the first bit of the deserializer (serializer). Verify clocks to the registers are generated correctly. Ensure the deserializers and serializerss are functioning as shift registers in the serial mode. Map each parallel scan in (out) pin to its corresponding deserializer (serializer) pin. Programming Mask and Clock Control Registers provides a flexible architecture that can deliver data to general purpose test data registers. Two classical examples are the X-Mask registers [2] and the side-scan registers for programming the On-Product Clock Generation (OPCG) circuitry [11]. Figure 7 shows the paths to load the X-mask and OPCG programming registers, which are both control-only registers, via the deserializer(s). The ATPG test patterns load these registers using the parallel interface and the pattern conversion process transforms the data to now be loaded via the deserializer. times faster than the scan frequency. Note that only the deserializer and serializer registers will be shifting at the faster frequency, while the internal chains will still see a clock pulse every N cycles, i.e., at the slow frequency. Additionally, experimental results demonstrate that the parallel interface helps to achieve a lower pattern count due to reduced data correlation. Hence significant overall test time reduction can be achieved, and using a faster clock makes the test time reduction even more appealing. 4. Hierarchical Test of Embedded Cores Figure 8 shows applications of in a hierarchical System-on-Chip (SoC) environment. The registers enable independent controllability and observability of each embedded core, including even the mask and OPCG data. Identical cores can share the same deserializer(s) but must have separate serializers. This ensures a defect in all instances of a core is not masked due to aliasing if the outputs of the identical instances were simply XORed together. Even heterogeneous cores can be tested simultaneously, although there can be inefficiency if cores with highly unbalanced scan length are grouped together in the same test session. Note that even though the heterogeneous cores shift simultaneously, they can have different launch-capture clocking sequences since their OPCG programming registers can be loaded independently. Since the logic can be placed close to its core, the wiring congestion is reduced since only the few wires to/from each block need to be routed. Yet another advantage is that having a single serialized output per core allows a means to easily tell which cores are bad. Figure 7. Using the serial interface to load the (a) X-Mask and (b) OPCG programming registers The mechanism to activate the different states scan load, mask load, OPCG load is transparent t to the pattern conversion process; it simply needs to be provided the sequences that set up these states and the sequences are then embedded in the serial patterns. These sequences are autoneed for custom generated within the tool, unless there is a sequences, e.g. to manipulate configuration registers. Test Application Time Impacts Since each shift of the internal scan chains requires a complete load (unload) of the N-bit deserializer (serializer), the overall scan shift time for a single test pattern is N times longer. But this overhead can be reduced if the deserializer and serializer registers are clocked faster than the regular scan shift frequency. Typical ATE can supply clocks 4-6 Figure 8. application in hierarchical test also greatly simplifies the core/ip design flow since the core s scan bandwidth can be independent of the SoC TAM bandwidth constraints. The core can be designed and patterns generated using a M-bit wide scan bus. If the SoC TAM to the core is only 2 bits wide, these 2 pins could each drive M/2-bit wide deserializers. The M-wide core patterns can thus be retargeted to the 2 SoC scanin pins via the Serial interface. If the TAM is 3-bits wide, then each deserializer would be M/ /3 bits wide. This enables an IP reuse methodology where the patterns can be generated once and simply retargeted to a SoC TAM configuration with the help of. Addressing Power Issues during Scan Shift in SoCs Instantaneous switching during scan can cause power issues in SoCs, as millions of flops may be shifting simultaneously. This can be partially addressed by limiting the number of Paper 4.2 INTERNATIONAL TEST CONFERENCE 4

5 cores tested simultaneously, though at a test time cost. But in a scenario where the SoC has unwrapped cores and the SoClevel glue logic is being tested (inter-core test), all the cores would be shifting simultaneously, likely causing severe power issues. This can be addressed by leveraging a novel aspect of the clocking logic. Once the update register latches the stimulus data, it holds that data for the next N cycles. Generally only one of the next N clock cycles is used to shift all the cores. Instead, the next N-1 clock cycles can be leveraged to interleave the scan shift of multiple cores. core is tested at a time. To support the test schedule where all the cores are participating (inter-core test), a total of 24 deserializer (serializer) bits are distributed over the 2 scanins (scanouts), resulting in two 12-bit deserializer and two 12-bit serializer registers as shown in Figure 10(a). (a) Testing inter-core logic between all three cores Figure 9. Low power interleaved scan clocks Figure 9 shows how such staggered clocking can be easily achieved by the clock controller without any impact to the overall test time. The net effect is that the chains in the first core will shift for one cycle, followed by the chains in the second core and so on. After all the cores have shifted one cycle, the first core shifts again and this process repeats till all the cores have completed shifting for the length of the longest scan chain. Such shifting is possible since each core is independently controllable and observable via its corresponding deserializer/serializer registers. Essentially, the total number of deserializer (serializer) bits must equal the total scanins (scanouts) across all the cores. Interleaved shifting thus avoids shifting all the cores simultaneously and hence significantly reduces instantaneous scan power issues. This novel approach addresses global scan power issues and ATPG techniques can still be leveraged to address localized power issues within the cores. Silicon results of an interleaved implementation on a SoC with 11 unbalanced partitions show almost 10X reduction in peak power draw during scan at the tester. Re-configurability of the hardware plays a key role in eliminating the test time or hardware overhead that could otherwise be incurred when multiple cores are present. Figure 10 shows a hierarchical test scenario with three cores and a tester limit (e.g., during wafer probe) of 2 scanin and 2 scanout pins. Each core has 8 scanin and 8 scanout pins, for a total of 24 scanins and 24 scanouts across the three cores. There are multiple test schedules for inter-core testing, e.g., all three cores or only two cores are participating at a time. Interleaved Smartscan can be used to avoid shifting all cores simultaneously and thus reduce scan power. Additional test schedules are needed for intra-core testing, where only one (b) Testing the logic between cores 1 and 3 Figure 10. Supporting multiple test configurations with re-configurable For a test schedule with two cores participating at a time, only 16 total deserializer bits are needed to load the scanins for these cores. The logic can now be configured as shown in Figure 10(b) to only utilize the first 8 bits of each register, and the remaining 4 bits (farthest away from serial scan pins) are physically present but are unused in this mode of operation. Without such flexibility in the hardware, a scan cycle in this schedule would require completely loading each 12-bit deserializer register, resulting in almost 50% test time overhead compared to using two 8-bit registers. For a test schedule where a single core is being tested, the logic can be configured to appear as two 4-bit wide deserializer and serializer registers. Note that Figure 10 does not show the multiplexing logic that is needed to route the appropriate deserializer and serializer bits to the cores being tested in each schedule. But this overhead would still be less than the alternatives in nonconfigurable de/serializer architecture, i.e., either inserting additional sets of registers of varied lengths for the different test schedules or incurring a significant test time penalty. Paper 4.2 INTERNATIONAL TEST CONFERENCE 5

6 5. Physical & Timing Considerations Scan path pipelining has become de facto in SoCs to deal with the long wiring or the several levels of XOR logic gates for compression. While it may seem that the deserializer and serializer flops can be considered as pipelines, these flops would most likely be clustered together and may be located far away from the scan pins on the SoC boundary. Hence pipelines are necessary for timing closure and are sometimes present even within the chip I/O pads. Figure 11. Pipeline locations in Compression mode Figure 11 shows the different locations where pipelines may be present and need to be supported by the architecture. The internal pipes and embedded pipes (present within XOR logic) are transparent to s post- ATPG pattern conversion process as these pipes behave identically during the parallel and serial modes of operation. The external pipes present multiple challenges to the pattern conversion process and can be categorized into two types, Type-1 and Type-2. Type-1 external pipes are those on the serial scan pins, e.g. SI1 and SO1. If the design does not have real parallel scan pins, Type-1 external pipes are bypassed in the parallel mode of operation; in the serial mode they are on the path to/from the registers. As shown with the example in Figure 12, the first N clock cycles of the serialized vector are used to prime the deserializer flops with the stimulus for one bit-slice of the scan chains. During these first N cycles, the clock to the internal scan chains is suppressed. Since p pipes (p N) are present, the padded vector shows that the clock controller suppresses the clock to the internal chains for the first 2*N cycles. After 2*N clock cycles the pipes contain 01 and the deserializer contains 111. From 2*N+1 cycles onwards, the internal clocking resumes as shown in the figure. Every N cycles, the deserializer is loaded with the values for current bit-slice of the scan chains, while the pipes contain partial values for the subsequent bit-slice. A novel feature of this scheme is that the conversion process can handle 0 p N external pipes without any change to the hardware or requiring pattern re-generation. This is advantageous if pipes need to be added or removed during timing closure. In general for p 0, the controller needs to suppress the internal clock for the first (ceil((n+p)/n)*n) cycles. The increase in test application time to support pipelines is negligible, e.g. it is only N additional cycles for 0 p N. Figure 12. Pattern conversion to handle Type-1 external pipes that are bypassed during ATPG Though not shown in the example, Type-1 external pipes on the output side can be handled similarly such that every N cycles the measure at the serial scan out consists of values left in the output pipes and partial values from the current serializer content. The additional consideration is that when unloading the response data for a test pattern, the first few cycles will measure the response data left in the output pipes from the previous test. This necessitates that the pipes must not change value during the launch-capture cycles. Type-2 external pipes, i.e. those on SI2 through SI5 and SO2 through SO5, are present only in the parallel interface (pattern generation mode) and are not accessible during the serial mode of operation. The content of the output pipes can be ignored since ATPG does not measure a known value in them. But when unbalanced scan chains are present and scan load/unload are overlapped, the data in the input pipes goes through the shorter chains and influences the compressed output stream for the current test. Hence the data in the input pipes needs to be serialized appropriately to ensure the pattern quality is unchanged. Once again assuming the pipes do not change value during launch-capture, the columns in the ATPG stimulus data that pertain to the input pipes are serialized and appended to the beginning of the next serialized test pattern. This ensures that the pipeline content factored into the scan output stream computation of the current test is available before the overlap with the next test s stimulus. 6. Integration with IEEE and P1687 The building blocks of a typical implementation are shown in Figure 13. The Controller block produces the deserializer/serializer clock, update clock, scan chain shift clock, mask load clock and serializer scan enable control. A deserializer block with an update stage feeds the decompressor and serializer block serializes the output of the compressor block. Paper 4.2 INTERNATIONAL TEST CONFERENCE 6

7 Figure 13. building blocks The block can be directly controlled from ATE or controlled from an Tap controller by decoding the states of the Tap FSM as shown in Figure 14(a). The serial vs parallel operation is determined by an instruction decode. The test protocol states of scan data shift, mask load shift and launch-capture are decoded from TAP states. The per- scan cycle mask enable is extracted from the serialized scanimplementation. in data. Figure 14(b) shows a possible logic (a) (b) Figure 14. (a) Mapping controls on to JTAG states (b) Glue logic to support TAP controlled The advantage of the above approach is that the die-level test patterns can be easily re-applied at the board-level using a 5 pin TAP interface. Furthermore, the serializer and deserializer can be treated as IEEE P1687 (ijtag) [12] compatible test data instruments and integrated with other P1687compatible hardware on the die. The low pin interface is also valuable as a narrow test access mechanism on 3D stacked dies. 7. Experimental Results Experiments were conducted on several industrial designs to show the effectiveness of the approach. TAM widths of 1 SI - 1 SO or 2 SI - 2 SO were used. For each design, the following methodology was used: 1. Generate patterns in (bypass) mode and in a conventional XOR Compression mode using the specified TAM width. 2. Generate patterns in mode using the ATPG parallel interface and convert them to the serial interface. The number of internal scan chains (between decompressor and compressor) stays the same as the Compression mode. A deserializer (serializer) of 4, 6 or 8 bits is connected to each TAM scanin (scanout) pin. 3. In both the Compression and modes, the coverage will likely be less than the mode due to data correlation effects. Generate top-off patterns for the Compression and modes to reach the coverage targets. 4. Test application time for the Compression mode will be the number of cycles needed to apply the Compression patterns plus the cycles needed for the top-off patterns. Test time for is computed similarly. Figure 15 shows the results for a single design with 1 SI and 1 SO pin and an 8-bit deserializerr in mode. As seen from the chart plotting the coverage in each mode, the coverage achieved with just the Compression patterns is, at 82%, far below the target coverage of 99.2% (coverage in mode). This is primarily due to the high data correlation caused by the single SI pin driving a large number of internal scan chains. A large number of top-off patterns are needed to bridge the coverage gap and reach the target coverage. The parallel interface achieves coverage of 98.7%; only 10 top-off patterns are sufficient to meet the coverage target. The test application times for each mode are compared in Figure 15. The test application time for Compression is relatively high since it is dominatedd by the time for the large number of top-off patterns. The test time for each pattern is 8 times longer than a single Compression pattern due to the deserializer and serializer shift. In spite of this, the total test time on a design is still 3.5X less than Compression since there are much fewer top-off patterns required. If the tester can supply a clock that is up to 8X faster than the scan frequency, then the overhead due to the deserializer shifting is nullified and the total test time is further reduced. Paper 4.2 INTERNATIONAL TEST CONFERENCE 7

8 Figure 15. Coverage and Test Time Impacts for a design containing an 8-bit deserializer Comprehensive results for four industrial designs of varied sizes are shown in Table 1. Designs A, B and C have one deserializer and one serializer in the mode, with 8-bit wide registers in design A, 4-bit registers in design B and 6-bit registers in design C. Design D has two deserializer and two serializer registers, each 6-bit wide. For each design, results were generated for 4 different modes, XOR Compression, and a variant of where the tester clock is faster (by 4, 6 or 8X) than the scan clock. The parallel interface used for ATPG in the modes is the total number of deserializer bits available, i.e., number of TAM scanin pins multiplied by the width of each deserializer register. Target compression ratio of 100X and X-masking were implemented for all designs. For simplicity in generating the Compression results, an additional Mask Enable (CME) pin was used just for the Compression mode. Without X-masking, the Compression results for these designs would have been worse. For, a deserializer bit and its corresponding scanin in the parallel interface serve as CME. Columns 7 and 8 show the initial coverage in each of the 4 modes and the number of patterns to achieve that coverage. Column 9 shows the number of top-off patterns needed to match the coverage in mode. The last two columns present the percentage reduction in test application time and the test data volume reduction when compared against. Design A B C D Table 1. Test Application Time (TAT) and Test Data Volume (TDV) reduction for different designs Testmode Num Flops TAM Width Total De/Serializer Width -- Effective ATPG (Parallel) Interface Initial Fault Coverage (%) Initial Num Patterns Num Top-off Patterns TAT Reduction TDV Compression K SI, 1 SO Compression 1 SI, K % 1.4X 7K 1 SO K % 4.8X 8 bits 7 SI, 8 SO K % 4.8X (@ 8x Freq) K SI, 1 SO Compression 1 SI, K 7.8K 56.4% 2.3X 97K 1 SO K % 25.7X 4 bits 3 SI, 4 SO K % 25.7X (@ 4x Freq) SI, 1 SO Compression K % 1.4X 1 SI, 5.5K 1 SO K % 4.3X 6 bits 6 SI, 6 SO K % 4.3X (@ 6x Freq) K SI, 2 SO Compression 2 SI, K 4.8K 75.4% 4.0X 586K 2 SO K % 19.6X 12 bits 12 SI, 12 SO K % 19.6X (@ 6x Freq) Paper 4.2 INTERNATIONAL TEST CONFERENCE 8

9 For all the designs, the coverage in Compression mode is significantly lower than the target coverage and hence requires a large number of top-off patterns, thus limiting the actual compression achieved. The parallel interface in mode enables ATPG to get very close to the target coverage and hence only requires a handful of top-off patterns. As can be seen, while conventional XOR compression can provide some benefit compared to, its effectiveness is greatly limited at low bandwidths. is able to overcome the bandwith limitations to provide better overall compression without any degradation in pattern quality. If the tester can supply a clock that is 4 to 8 times faster than the scan frequency, the overhead due to serial scan shifting in can be eliminated, providing further reduction in test application time. Some of the ongoing development involves improving debug and automatic diagnosis of XOR-based. Optimization of scan structure integrity tests for test modes is another area of work. We are also looking at a number of enhancements in the interleaved area to reduce the scan shift power with the optimal hardware and software support. Finally, combining techniques with embedded MISR structures with parallel or serial signature observation provides further opportunities for test data compression. 8. Conclusions This paper presents a generalized TAM architecture called that allows efficient distribution of compressed test data streams to and from embedded cores. Some of the key contributions presented are: Support for generalized XOR and MISR-based compression schemes. This includes serialization of X- mask data and OPCG control data for multiple domains. The ATPG patterns generated at the core-level using the parallel compressed data bus are re-used at the SoClevel and serialized concurrently enabling seamless multi-core support without any scripting. There is no reduction in test coverage or compression ratio between the parallel and serial vector sets. Experimental results show that the parallel interface achieves up to 98% reduction in test time and 25X reduction in data volume. The results are significantly better than conventional compression with very few pins. The mainstream DFT flow verification, ATPG, diagnostics is minimally impacted as the serialization is built into the backend ATPG process. is compatible with IEEE or IEEE P1687 interfaces, allowing this scheme to be used at the board or system level and for 3D stacked dies. A novel extension of the DFT hardware permits low power interleaved scan shifting that reduces instantaneous scan switching by a large factor. 9. Acknowledgements The authors would like to acknowledge and thank Jordy Asher, Brion Keller, Ripu Singh, Rick Schoonover, Leon Palmer and Balveer Koranga for their valuable contributions to this work. 10. References [1] C. Barnhart et al., OPMISR: The foundation for compressed ATPG vectors, Proc. IEEE International Test Conference, 2001, pp [2] V. Chickermane, B. Foutz, & B. Keller, Channel Masking Synthesis for Efficient On-Chip Test Compression, Proc. IEEE International Test Conference, 2004, pp [3] S. Mitra, K.S. Kim, X-Compact: An Efficient Response Compaction Technique for Test Cost Reduction, Proc. IEEE International Test Conference, 2002, pp [4] P. Wohl, et al, Minimizing the impact of scan compression, Proc. VLSI Test Symposium, 2007, pp [5] B. Koenemann, et al, A SmartBIST variant with guaranteed encoding, Proc. IEEE Asian Test Symposium, 2001, pp [6] C. Barnhart, et al, Logic DFT and Test Resource Partitioning for 100M Gate ASICs, Test Resource Partitioning Workshop, [7] A. Khoche, et al, A new methodology for improved tester utilization, Proc. IEEE International Test Conference, [8] A. Chandra, R. Kapur, Y. Kanzawa, Scalable Adaptive Scan (SAS), Proc. Design, Automation & Test in Europe (DATE), 2009, pp [9] J. Moreau, et al, Running scan test on three pins: yes we can!, Proc. IEEE International Test Conference, [10] A. Sanghani, et al, Design and implementation of a timedivision multiplexing scan architecture using serializer and deserializer in GPU chips, Proc. IEEE VLSI Test Symposium, 2011, pp [11] B. Keller, et al, Low Cost At-Speed Testing using On- Product Clock Generation Compatible with Test Compression, Proc. International Test Conference, [12] IEEE P Paper 4.2 INTERNATIONAL TEST CONFERENCE 9

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Anders Larsson, Erik Larsson, Krishnendu Chakrabarty *, Petru Eles, and Zebo Peng Embedded

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

EMBEDDED DETERMINISTIC TEST FOR LOW COST MANUFACTURING TEST

EMBEDDED DETERMINISTIC TEST FOR LOW COST MANUFACTURING TEST EMBEDDED DETERMINISTIC TEST FOR LOW COST MANUFACTURING TEST Janusz Rajski, Jerzy Tyszer *, Mark Kassab, Nilanjan Mukherjee, Rob Thompson, Kun-Han Tsai, Andre Hertwig, Nagesh Tamarapalli, Grzegorz Mrugalski

More information

Core-Level Compression Technique Selection and SOC Test Architecture Design 1

Core-Level Compression Technique Selection and SOC Test Architecture Design 1 17th Asian Test Symposium Core-Level Compression Technique Selection and SOC Test Architecture Design 1 Anders Larsson +, Xin Zhang +, Erik Larsson +, and Krishnendu Chakrabarty * + Department of Computer

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Jin-Hyun Kang Semiconductor Systems Department Sungkyunkwan University Suwon, Korea, 16419 kangjin13@skku.edu

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas at Austin {kjbala,touba}@ece.utexas.edu

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

A Technique for High Ratio LZW Compression

A Technique for High Ratio LZW Compression A Technique for High Ratio LZW Compression Michael J. Knieser Francis G. Wolff Chris A. Papachristou Daniel J. Weyer David R. McIntyre Indiana University Purdue University Indianapolis Case Western Reserve

More information

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Mounir Benabdenbi y Walid Maroufi z Meryem Marzouki LIP6 Laboratory Couloir 55-65, 4 Place Jussieu, 75252 Paris Cedex 5, France

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

IEEE P1500 Core Test Standardization

IEEE P1500 Core Test Standardization Technical Proposals for IEEE P1500 Core Test Standardization Erik Jan Marinissen Research Laboratories Eindhoven, The Netherlands P1500 Meeting ITC Test Week, Washington D.C., November, 1997 Technical

More information

Automating IEEE 1500 Core Test An EDA Perspective

Automating IEEE 1500 Core Test An EDA Perspective IEEE Std 1500 and Its Usage Automating IEEE 1500 Test An EDA Perspective Krishna Chakravadhanula and Vivek Chickermane Cadence Design Systems Editor s note: Standardized design and test practices enable

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression 1 R.Kanagavalli, 2 Dr.O.Saraniya 1 PG Scholar, 2 Assistant Professor Department of Electronics and Communication Engineering,

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Full-Chip Pattern Integration

Full-Chip Pattern Integration Introduction Full-Chip Pattern Integration Failing tests; schedule slips; silicon re-spins; development tools that break with each new design. A growing number of test engineers are faced with these critical

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS E.S.D Gireesh Goud 1, Mrs.T.Swetha 2 PG Scholor, DIET, HYD 1, Assistant Professor, DIET, HYD 2 ABSTRACT These designs pose significant

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

A DfT architecture and tool flow for 3D-SICs with test data compression, embedded cores, and multiple towers

A DfT architecture and tool flow for 3D-SICs with test data compression, embedded cores, and multiple towers A DfT architecture and tool flow for 3D-SICs with test data compression, embedded cores, and multiple towers Papameletis, C.; Keller, B.; Chickermane, V.; Hamdioui, S.; Marinissen, E.J. Published in: IEEE

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults Artur Jutman Tallinn University of Technology artur@pld.ttu.ee Abstract This article describes a novel approach to fault diagnosis suitable

More information

BISTed cores and Test Time Minimization in NOC-based Systems

BISTed cores and Test Time Minimization in NOC-based Systems BISTed cores and Test Time Minimization in NOC-based Systems Érika Cota 1 Luigi Carro 1,2 Flávio Wagner 1 Marcelo Lubaszewski 1,2 1 PPGC - Instituto de Informática 2 PPGEE - Depto. Engenharia Elétrica

More information

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab Fault management in an IEEE P1687 (IJTAG) environment Erik Larsson and Konstantin Shibin Lund University Testonica Lab otivation Semiconductor technology development enables design and manufacturing of

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

IEEE P1500, a Standard for System on Chip DFT

IEEE P1500, a Standard for System on Chip DFT page 1(6) IEEE P1500, a Standard for System on Chip DFT Kim Petersén HDC, Hardware Design Center 723 50 Västerås Sweden Email: kim.petersen@hdc.se key words: IP, DFT, SoC, BIST, BISR ABSTRACT This document

More information

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods International Journal of Scientific & Engineering Research, Volume 4, Issue 4, April-2013 664 A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods Debasmita Hazra Abstract- This

More information

SCANWORKS TEST DEVELOPMENT STATION BUNDLE

SCANWORKS TEST DEVELOPMENT STATION BUNDLE SCANWORKS TEST DEVELOPMENT STATION BUNDLE The ScanWorks Test Development Station is the most powerful set of boundary-scan test development and application tools available. It not only includes all the

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Accelerating CDC Verification Closure on Gate-Level Designs

Accelerating CDC Verification Closure on Gate-Level Designs Accelerating CDC Verification Closure on Gate-Level Designs Anwesha Choudhury, Ashish Hari anwesha_choudhary@mentor.com, ashish_hari@mentor.com Design Verification Technologies Mentor Graphics Abstract:

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Enhancing Superset X-Canceling Method With Relaxed Constraints on Fault Observation Joon-Sung

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper.

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper. Copyright 2004 Institute of Electrical and Electronics Engineers, Inc. Reprinted, with permission, from Semicon Europa EMTC in Munich on April 20, 2004, "Practical Design Methodologies that Enable Concurrent

More information

Testing ASICs with Multiple Identical Cores

Testing ASICs with Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 3, MARCH 2003 327 Testing ASICs with Multiple Identical Cores Yuejian Wu and Paul MacDonald, Member, IEEE Abstract

More information

Gate Level Fault Diagnosis in Scan-Based BIST

Gate Level Fault Diagnosis in Scan-Based BIST Gate Level Fault Diagnosis in Scan-Based BIST Ismet Bayraktaroglu Computer Science & Engineering Department University of California, San Diego La Jolla, CA 92093 ibayrakt@csucsdedu Alex Orailoglu Computer

More information

Compression-based SoC Test Infrastructures

Compression-based SoC Test Infrastructures Compression-based SoC Test Infrastructures LIRMM, Univ. Montpellier II/CNRS, 161 rue Ada, 34932 Montpellier cedex 5, France {dalmasso, flottes, rouzeyre}@lirmm.fr Abstract. Test Data Compression techniques

More information

SoC Design Flow & Tools: SoC Testing

SoC Design Flow & Tools: SoC Testing SoC Design Flow & Tools: SoC Testing Jiun-Lang Huang Graduate Institute of Electronics Engineering Department of Electrical Engineering National Taiwan University Outline l SoC Test Challenges l Test Access

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2 www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.13 June-2014, Pages:2858-2863 Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING Alan Albee GenRad, Inc. Abstract This paper focuses on the practical aspects of combining boundary scan testing with traditional In-Circuit Test.

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

TAM design and Test Data Compression for SoC Test Cost Reduction

TAM design and Test Data Compression for SoC Test Cost Reduction TAM design and Test Data Compression for SoC Test Cost Reduction Julien DALMASSO, Marie-Lise FLOTTES, Bruno ROUZEYRE LIRMM, UMR5506 CNRS/Université Montpellier II 161 rue Ada, 34932 Montpellier cedex 5,

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) Artur Jutman November 23 th, 2010 Drammen, NORWAY Presentation Outline Introduction Overview of the standards IEEE 1149.7

More information

FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction

FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, and Tatsuo Ohtsuki Dept. of Computer Science Waseda University,

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 10: Synthesis Optimization Prof. Mingjie Lin 1 What Can We Do? Trade-offs with speed versus area. Resource sharing for area optimization. Pipelining, retiming,

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost IEEE TRANSACTIONS ON COMPUTERS, VOL. 67, NO. 12, DECEMBER 2018 1835 Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost Inhyuk Choi, Hyunggoy Oh, Young-Woo Lee, and Sungho Kang, Senior

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

A Non-Intrusive Isolation Approach for Soft Cores

A Non-Intrusive Isolation Approach for Soft Cores A Non-Intrusive Isolation Approach for Soft Cores Ozgur Sinanoglu Math & Computer Science Department Kuwait University Safat, Kuwait 13060 ozgur@sci.kuniv.edu.kw Tsvetomir Petrov Qualcomm CDMA Technologies

More information

Unit 2: High-Level Synthesis

Unit 2: High-Level Synthesis Course contents Unit 2: High-Level Synthesis Hardware modeling Data flow Scheduling/allocation/assignment Reading Chapter 11 Unit 2 1 High-Level Synthesis (HLS) Hardware-description language (HDL) synthesis

More information

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp.

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp. Published in IET Computers & Digital Techniques Received on 15th May 2007 Revised on 17th December 2007 Selected Papers from NORCHIP 06 ISSN 1751-8601 Architecture for integrated test data compression

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil Test of NoCs and NoC-based Systems-on-Chip Érika Cota Marcelo Lubaszewski UFRGS, Brazil 1 A small world... San Diego USA Porto Alegre Brazil 2 1 Where we are 3 Porto Alegre 4 2 Porto Alegre and UFRGS Porto

More information

Verifying the Correctness of the PA 7300LC Processor

Verifying the Correctness of the PA 7300LC Processor Verifying the Correctness of the PA 7300LC Processor Functional verification was divided into presilicon and postsilicon phases. Software models were used in the presilicon phase, and fabricated chips

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Digital VLSI Testing. Week 1 Assignment Solution

Digital VLSI Testing. Week 1 Assignment Solution Digital VLSI Testing Week 1 Assignment Solution Q1. Primary objective of testing is to guarantee (A) Fault-free products (B) Detection of design error (C) Reduction of product cost (D) All of these Ans:

More information

Concurrent Testing with RF

Concurrent Testing with RF Concurrent Testing with RF Jeff Brenner Verigy US EK Tan Verigy Singapore go/semi March 2010 1 Introduction Integration of multiple functional cores can be accomplished through the development of either

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information