Running vxworksrtos on the. Mechatronics Laboratory

Size: px
Start display at page:

Download "Running vxworksrtos on the. Mechatronics Laboratory"

Transcription

1 Running vxworksrtos on the XUPV2P board Mechatronics Laboratory

2 TheDigilentXUPV2P board (XilinxUniversityProgramVirtexII-Pro)

3 The Digilent XUPV2P board Virtex-2 Pro XC2VP30 FPGA with 30,816 Logic Cells, bit multipliers, 2,448Kb of block RAM, and two PowerPC Processors. DDR SDRAM DIMM that can accept up to 2Gbytes of RAM. 10/100 Ethernet port. USB2 port. Compact Flash card slot. XSGA Video port. Audio Codec. SATA, and PS/2, RS-232 ports. High and Low Speed expansion connectors with a large collection of available expansion boards.

4 The Digilent XUPV2P board Testing the board (Step 1) Connect the serial cable between the XUP board and the PC. If you uses an USB- Serial converser verify that the driver is already installed in the PC.

5 The Digilent XUPV2P board Testing the board (Step 2) Testing the board: Serial port (RS-232), Ethernet port and DDR DIM slot Connect through the Hyperterminal or another TTY program. Use the following port settings:

6 The Digilent XUPV2P board Testing the board (Step 3) Verify that the board configuration pins are in the positions show in the figure. Turn on the board and verify that the PROM CONFIG light (green) is selected and the DONE light (red) turns on.

7 The DigilentXUPV2P board Testing the board (Step 4) Connect the Ethernet cable to the board and verify that the PHY lights turns on. Blinking lights indicates that the PHY chip has already negotiate the network link and speed.

8 The DigilentXUPV2P board Testing the board (Step 5) In the Hyperterminal window, check that the default PROM application menu is shown. To test the Ethernet port, select the option number 2.

9 The DigilentXUPV2P board Testing the board (Step 6) The PROM test application will ask the assigned board IP Address. Use an IP compatible with your network, avoiding reuse the number if other board is being tested. A message will be shown to indicate that a Web Server was started on port 8080.

10 The DigilentXUPV2P board Testing the board (Step 7) Open a Web Browser and go to the address: 80/, Where <ip_address> is the IP address entered in the previous step. Additionally, check the Hyperterminalwindow output messages.

11 The DigilentXUPV2P board Testing the board (Step 8) Enter a x in the input edit box to stop the Web Server. A Web Server Stopped! Page will be shown. Check that the PROM test menu is shown in the Hyperterminal windows.

12 The DigilentXUPV2P board Testing the board (Step 9) To test the DDR DIMM, select the option number 5. A set of messages will be show to verify the DIMM functionality compliance. Check that all the messages are SUCCESS!

13 The XILINX Suite The Xilinx FPGA development suite allows working with the XUP FPGA board. The board needs to be configured before the vxworksoperating system could boot in him. This configuration consists of defining the board type and peripherals and to generate the BSP source files for vxworks.

14 Configuring the XUPV2P board (Step 1) The board manufacturer (DigilentInc.) provides a set of configuration files: UPV2P/EDK-XUP-V2ProPack.zip In this tutorial we are renamed the unpacked folder to lib_xupv2p_edk_10_1_sp3. Put this folder in a visible location and verify that the path does not contains whitespaces.

15 Configuring the XUPV2P board (Step 2) Additionally, create a new folder called xup_vxworks_01 where the XUPV2P EDK project will be created.

16 Configuring the XUPV2P board Enter the Xilinx Platform Studio (EDK) application. Select to create a new design and go to the xup_vxworks_01 folder created in the previous step. Use the default system.xmpproject file name. (Step 3)

17 Configuring the XUPV2P board Select the Set Project Peripheral Repositories check box. Select the \lib folder unpacked in the previous steps (lib_xupv2p_edk_1 0_1_sp3\libin this tutorial). (Step 4)

18 Configuring the XUPV2P board (Step 5)

19 Configuring the XUPV2P board (Step 6)

20 Configuring the XUPV2P board (Step 7)

21 Configuring the XUPV2P board (Step 8)

22 Configuring the XUPV2P board (Step 8)

23 Configuring the XUPV2P board (Step 9)

24 Configuring the XUPV2P board Finally, we obtain a new system on chip configuration project. The project lists (almost) all the peripherals in the board and the default configurations to each. (Step 10)

25 Configuring the XUPV2P board Select the xps_uartlite_v1_00_a peripheral and open it. Change the UART Lite Baud rate from to bps. Press OK. (Step 11)

26 Configuring the XUPV2P board (Step 12) Build the platform by pressing the Generate Image File toolbar button:

27 Configuring the XUPV2P board Once the image file (system.bit) is successfully created, proceed to configure the software BSP. Select the Software- >SofwarePlatform Settings menu. (Step 13)

28 Configuring the XUPV2P board Select the OS: vxworks6_3 in the combo box on the OS Library Settings. This BSP version was already tested, other versions were not verified. (Step 14)

29 Configuring the XUPV2P board Be sure that all peripherals are selected. Select RS232_Uart_1 for both, STDOUT and STDIN devices. Double click in the Current Value column for the item connected_peripherals. (Step 15)

30 Configuring the XUPV2P board After configuring the Software settings, we are able to generate the XUPV2P Board Support Package: Select the Software- >Generate Libraries and BPSs option in the main menu. (Step 16)

31 Configuring the XUPV2P board Configure the board: Press the Download Bistream button on the toolbar. Verify the configuration messages. Check the Ethernet LEDs are turned on. (Step 17)

32 Creating the vxworksimage (step 1) Enter the Wind River Workbench and select New->VxWorksImage Project option. Name the project as xup_vxworks_01.

33 Creating the vxworksimage (step 2) A new folder called bsp_ppc405_0was created in the Xilinx project folder (see image). Rename the folder to bsp_ppc405_63 and, Copy it to the /target/configfolder of the vxworksinstallation (see image).

34 Creating the vxworksimage (step 3) Select the bsp_ppc405_63 in the BSP combo box. Follow the next screen shots.

35 Creating the vxworksimage (step 4)

36 Creating the vxworksimage (step 5) Press the Finish button. A new project will be created in the workspace. We now proceed to configure the vxworks 6.7 image generation options.

37 Creating the vxworksimage (step 6) Open the Kernel Configuration under the project tree. Locate the Hardware -> Peripherals -> Serial item Change the CONSOLE_BAUD_RATE value to

38 Creating the vxworksimage (step 7) Include the WDB Serial Connection component in the tree. This allows a serial connection to the WDB engine. Press OK when asked to include the component.

39 Creating the vxworksimage (step 8) Configure the WDB serial connection component: Change the WDB_TTY_BAUD parameter to Change the WDB_TTY_CHANNEL to 0 (default is 1). We have only a one serial port.

40 Creating the vxworksimage (step 9) Build the project and check for some errors. Ignore the warning messages. Verify that a vxworks file (without extensions) was created in the default folder.

41 Putting the vxworksimage in the Return to the EDK and press the Launch XMD button in the toolbar A JTAG configuration window will appear. Select the image configurations and press OK. XUPV2P (Step 1)

42 Putting the vxworksimage in the A XMD console is opened and the XUPV2 board configuration is shown. Verify that no error messages are shown. XUPV2P (Step 2)

43 Putting the vxworksimage in the Return to the Hyperterminal window. Hung up the connection. Change the baud rate from to Press the Connect button. XUPV2P (Step 2)

44 Putting the vxworksimage in the Copy the vxworks image file created in the workbechto the xup_vxworks_01edk project folder. Verify that it s available running dir vxworks. Type dow vxworks. Type run. XUPV2P (Step 3)

45 Putting the vxworksimage in the In the Hyperterminal window, verify the output messages. A WDB Ready message must be shown. Important:hung the Hyperterminal connection now. XUPV2P (Step 4)

46 Putting the vxworksimage in the Return to the Wind River Workbench. Create a new connection. Select the Wind River vxworks6.x Target Server Connection type. XUPV2P (Step 5)

47 Putting the vxworksimage in the Select the wdbserial Backend and the other parameters as shown in the figure. Remember the baud rate in all configurations. XUPV2P (Step 6)

48 Putting the vxworksimage in the Connect to the target. Open a shell connection under the Target Task -> Host Shell option in the contextual connection menu (right mouse button). Press OK in the next window. XUPV2P (Step 7)

49 Putting the vxworksimage in the A new Host Shell console appears. Enter the i command to show the running processes. XUPV2P (Step 8)

ML410 VxWorks BSP and System Image Creation for the BSB DDR2 Design Using EDK 8.2i SP1. April

ML410 VxWorks BSP and System Image Creation for the BSB DDR2 Design Using EDK 8.2i SP1. April ML410 VxWorks BSP and System Image Creation for the BSB DDR2 Design Using EDK 8.2i SP1 April 2007 Overview Hardware Setup Software Setup & Requirements Generate VxWorks BSP Create VxWorks Project Create

More information

ML410 VxWorks Workbench BSP and System Image Creation for the BSB Design Using EDK 8.2i SP2. April

ML410 VxWorks Workbench BSP and System Image Creation for the BSB Design Using EDK 8.2i SP2. April ML410 VxWorks Workbench BSP and System Image Creation for the BSB Design Using EDK 8.2i SP2 April 2007 Overview Hardware Setup Software Setup & Requirements Generate VxWorks BSP Create VxWorks Project

More information

ML410 VxWorks BSP and System Image Creation for the BSB Design Using EDK 8.2i SP1. April

ML410 VxWorks BSP and System Image Creation for the BSB Design Using EDK 8.2i SP1. April ML410 VxWorks BSP and System Image Creation for the BSB Design Using EDK 8.2i SP1 April 2007 Overview Hardware Setup Software Setup & Requirements Generate VxWorks BSP Create VxWorks Project Create VxWorks

More information

System Ace Tutorial 03/11/2008

System Ace Tutorial 03/11/2008 System Ace Tutorial This is a basic System Ace tutorial that demonstrates two methods to produce a System ACE file; the use of the System Ace File Generator (GenACE) and through IMPACT. Also, the steps

More information

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008 Virtex-4 PowerPC Example Design R R 2007-2008 Xilinx, Inc. All Rights Reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks

More information

ML410 BSB DDR2 Design Creation Using 8.2i SP1 EDK Base System Builder (BSB) April

ML410 BSB DDR2 Design Creation Using 8.2i SP1 EDK Base System Builder (BSB) April ML40 BSB DDR2 Design Creation Using 8.2i SP EDK Base System Builder (BSB) April 2007 Overview Hardware Setup Software Requirements Create a BSB DDR2 System Build (BSB) in EDK Generate a Bitstream Transfer

More information

Port Embedded Linux to XUP Virtex-II Pro Development Board

Port Embedded Linux to XUP Virtex-II Pro Development Board Port Embedded Linux to XUP Virtex-II Pro Development Board 1,2* 1,2 1,2 ZHOU Qingguo, YAO Qi, LI Chanjuan, Hu Bin 2,3 1. Distributed & Embedded System Lab (DSLab), Lanzhou University, China 2. Engineering

More information

QSPI Flash Memory Bootloading In Standard SPI Mode with KC705 Platform

QSPI Flash Memory Bootloading In Standard SPI Mode with KC705 Platform Summary: QSPI Flash Memory Bootloading In Standard SPI Mode with KC705 Platform KC705 platform has nonvolatile QSPI flash memory. It can be used to configure FPGA and store application image. This tutorial

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader Spartan-6 LX9 MicroBoard Embedded Tutorial Lab 6 Creating a MicroBlaze SPI Flash Bootloader Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/17/11 Table

More information

EDK Base System Builder (BSB) support for XUPV2P Board. Xilinx University Program

EDK Base System Builder (BSB) support for XUPV2P Board. Xilinx University Program EDK Base System Builder (BSB) support for XUPV2P Board Xilinx University Program What is BSB? The Base System Builder (BSB) wizard is a software tool that help users quickly build a working system targeted

More information

Avnet Zynq Mini Module Plus Embedded Design

Avnet Zynq Mini Module Plus Embedded Design Avnet Zynq Mini Module Plus Embedded Design Version 1.0 May 2014 1 Introduction This document describes a Zynq standalone OS embedded design implemented and tested on the Avnet Zynq Mini Module Plus. 2

More information

AXI Interface Based KC705. Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4)

AXI Interface Based KC705. Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4) AXI Interface Based KC705 j Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4) Software Tutorial Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided

More information

Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial

Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial Version 13.2.01 Revision History Version Description Date 13.2.01 Initial release with support for ISE 13.2 tools Aug. 10, 2011 Page 2 of 30

More information

Outline Introduction System development Video capture Image processing Results Application Conclusion Bibliography

Outline Introduction System development Video capture Image processing Results Application Conclusion Bibliography Real Time Video Capture and Image Processing System using FPGA Jahnvi Vaidya Advisors: Dr. Yufeng Lu and Dr. In Soo Ahn 4/30/2009 Outline Introduction System development Video capture Image processing

More information

Introduction to Zynq

Introduction to Zynq Introduction to Zynq Lab 2 PS Config Part 1 Hello World October 2012 Version 02 Copyright 2012 Avnet Inc. All rights reserved Table of Contents Table of Contents... 2 Lab 2 Objectives... 3 Experiment 1:

More information

Hello World on the ATLYS Board. Building the Hardware

Hello World on the ATLYS Board. Building the Hardware 1. Start Xilinx Platform Studio Hello World on the ATLYS Board Building the Hardware 2. Click on Create New Blank Project Using Base System Builder For the project file field, browse to the directory where

More information

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features ML501 Purpose: General purpose FPGA development board. Board Part Number: HW-V5-ML501-UNI-G Device Supported: XC5VLX50FFG676 Price: $995 The ML501 is a feature-rich and low-cost evaluation/development

More information

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen Compute Node Design for DAQ and Trigger Subsystem in Giessen Justus Liebig University in Giessen Outline Design goals Current work in Giessen Hardware Software Future work Justus Liebig University in Giessen,

More information

VxWorks 5.4 LEON BSP 1.0.1

VxWorks 5.4 LEON BSP 1.0.1 Gaisler Research VxWorks 5.4 LEON BSP 1.0.1 Copyright Gaisler Research, Septem ber 2006. VxWorks- 5.4 LEON BSP 1. Contents This CD contains a board support package (BSP) for LEON2 and LEON3 processors

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil Khatri TA: Monther Abusultan (Lab exercises created by A. Targhetta / P. Gratz)

More information

Full Linux on FPGA. Sven Gregori

Full Linux on FPGA. Sven Gregori Full Linux on FPGA Sven Gregori Enclustra GmbH FPGA Design Center Founded in 2004 7 engineers Located in the Technopark of Zurich FPGA-Vendor independent Covering all topics

More information

MicroZed: Hello World. Overview. Objectives. 23 August 2013 Version 2013_2.01

MicroZed: Hello World. Overview. Objectives. 23 August 2013 Version 2013_2.01 23 August 2013 Version 2013_2.01 Overview Once a Zynq Hardware Platform is created and exported from Vivado, the next step is to create an application targeted at the platform and see it operating in hardware.

More information

VxWorks Real-Time Kernel Connectivity Andrew Piñeiro CNT 4104 Janusz Zalewski FGCU December 9, 2011

VxWorks Real-Time Kernel Connectivity Andrew Piñeiro CNT 4104 Janusz Zalewski FGCU December 9, 2011 VxWorks Real-Time Kernel Connectivity Andrew Piñeiro CNT 4104 Janusz Zalewski FGCU December 9, 2011 1. Introduction VxWorks is a proprietary real-time operating system (RTOS) made and sold by Wind River

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 1 Creating an AXI-based Embedded System Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/15/2011 Table

More information

ML605 Built-In Self Test Flash Application

ML605 Built-In Self Test Flash Application ML605 Built-In Self Test Flash Application October 2010 Copyright 2010 Xilinx XTP056 Revision History Date Version Description 10/05/10 12.3 Up-rev 12.2 BIST Design to 12.3. Added AR38127 Added AR38209

More information

Using Serial Flash on the Xilinx Spartan-3E Starter Board. Overview. Objectives. Version 8.1 February 23, 2006 Bryan H. Fletcher

Using Serial Flash on the Xilinx Spartan-3E Starter Board. Overview. Objectives. Version 8.1 February 23, 2006 Bryan H. Fletcher Using Serial Flash on the Xilinx Spartan-3E Starter Board Version 8.1 February 23, 2006 Bryan H. Fletcher Overview The Xilinx Spartan-3E FPGA features the ability to configure from standard serial flash

More information

ML410 BSB Design Adding the PLB TEMAC with RGMII Using EDK 8.2i SP1. April

ML410 BSB Design Adding the PLB TEMAC with RGMII Using EDK 8.2i SP1. April ML410 BSB Design Adding the PLB TEMAC with RGMII Using EDK 8.2i SP1 April 2007 Overview Hardware Setup Software Requirements Generate a Bitstream Transfer the Bitstream onto the FPGA Loading a Bootloop

More information

SP601 Standalone Applications

SP601 Standalone Applications SP601 Standalone Applications December 2009 Copyright 2009 Xilinx XTP053 Note: This presentation applies to the SP601 Overview Xilinx SP601 Board Software Requirements SP601 Setup Multi-pin Wake-up GPIO

More information

MYD-C7Z010/20 Development Board

MYD-C7Z010/20 Development Board MYD-C7Z010/20 Development Board MYC-C7Z010/20 CPU Module as Controller Board Two 0.8mm pitch 140-pin Connectors for Board-to-Board Connections 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23.

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23. In this demo, we will be using the Chipscope using three different flows to debug the programmable logic on Zynq. The Chipscope inserter will be set up to trigger on a bus transaction. This bus transaction

More information

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Overview ß Embedded Design Challenges ß Xilinx Embedded Platforms for Embedded Processing ß Introducing Spartan-6 and Virtex-6 FPGA Embedded Kits

More information

Parallella Linux - quickstart guide. Antmicro Ltd

Parallella Linux - quickstart guide. Antmicro Ltd Parallella Linux - quickstart guide Antmicro Ltd June 13, 2016 Contents 1 Introduction 1 1.1 Xilinx tools.......................................... 1 1.2 Version information.....................................

More information

ML605 Built-In Self Test Flash Application

ML605 Built-In Self Test Flash Application ML605 Built-In Self Test Flash Application July 2011 Copyright 2011 Xilinx XTP056 Revision History Date Version Description 07/06/11 13.2 Up-rev 13.1 BIST Design to 13.2. 03/01/11 13.1 Up-rev 12.4 BIST

More information

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Application Note: Embedded Processing XAPP935 (v1.1) June 7, 2007 R Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Abstract This reference system demonstrates the functionality of

More information

MAXREFDES44# MicroZed Quick Start Guide

MAXREFDES44# MicroZed Quick Start Guide MAXREFDES44# MicroZed Quick Start Guide Rev 0; 5/15 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product. No circuit

More information

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ Spartan-6 and Virtex-6 FPGA FAQ February 5, 2009 Getting Started 1. Where can I purchase an Embedded kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Embedded kits online at: Spartan-6 FPGA :

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version 13.2.01 Revision History Version Description Date 12.4.01 Initial release for EDK 12.4 09 Mar 2011 12.4.02

More information

UltraZed -EV Starter Kit Getting Started Version 1.3

UltraZed -EV Starter Kit Getting Started Version 1.3 UltraZed -EV Starter Kit Getting Started Version 1.3 Page 1 Copyright 2018 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property of

More information

Virtex-5 FXT PowerPC PowerPC 440 and MicroBlaze 440

Virtex-5 FXT PowerPC PowerPC 440 and MicroBlaze 440 Virtex-5 FXT PowerPC PowerPC 440 and MicroBlaze 440 and Edition MicroBlaze Kit Reference Systems [Guide Subtitle] [optional] [optional] R R Xilinx is disclosing this user guide, manual, release note, and/or

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Introduction: Modern FPGA s are equipped with a lot of resources that allow them to hold large digital

More information

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory.

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. 1.0 Objectives In this lab you will get familiar with the concept of using the

More information

SP605 Built-In Self Test Flash Application

SP605 Built-In Self Test Flash Application SP605 Built-In Self Test Flash Application March 2011 Copyright 2011 Xilinx XTP062 Revision History Date Version Description 03/01/11 13.1 Up-rev 12.4 BIST Design to 13.1. 12/21/10 12.4 Up-rev 12.3 BIST

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design October 6 t h 2017. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

Design of a Network Camera with an FPGA

Design of a Network Camera with an FPGA Design of a Network Camera with an FPGA Tiago Filipe Abreu Moura Guedes INESC-ID, Instituto Superior Técnico guedes210@netcabo.pt Abstract This paper describes the development and the implementation of

More information

SP605 Standalone Applications

SP605 Standalone Applications SP605 Standalone Applications July 2011 Copyright 2011 Xilinx XTP064 Revision History Date Version Description 07/06/11 13.2 Up-rev 13.1 GPIO_HDR Design to 13.2. 03/01/11 13.1 Up-Rev 12.4 GPIO_HDR Design

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

POWERLINK Slave Xilinx Getting Started User's Manual

POWERLINK Slave Xilinx Getting Started User's Manual POWERLINK Slave Xilinx Getting Started Version 0.01 (April 2012) Model No: PLALTGETST-ENG We reserve the right to change the content of this manual without prior notice. The information contained herein

More information

SATA-IP Bridge Demo Instruction on AC701 Rev1.0 9-May-14

SATA-IP Bridge Demo Instruction on AC701 Rev1.0 9-May-14 SATA-IP Bridge Demo Instruction on AC701 Rev1.0 9-May-14 This document describes SATA-IP Bridge evaluation procedure using bit file from Bridge reference design on AC701 board. 1 Environment For real board

More information

SATA-IP Host Demo Instruction on SP605 Rev Jan-10

SATA-IP Host Demo Instruction on SP605 Rev Jan-10 SATA-IP Host Demo Instruction on SP605 Rev1.0 21-Jan-10 This document describes SATA-IP Host evaluation procedure using SATA-IP Host reference design bit-file. 1 Environment For real board evaluation of

More information

PetaLinux SDK User Guide. Board Bringup Guide

PetaLinux SDK User Guide. Board Bringup Guide PetaLinux SDK User Guide Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the maximum extent permitted

More information

VHDL introduction Notes

VHDL introduction Notes UH Hawaii Manoa 475 Electronics for physicists VHDL introduction Notes Author: Andrej Seljak Date: Fall 2016 update 1 Ver: 1.0 Table of Contents 1. FPGA description3 2. USB EVALUATION board 4 3. ISE Xilinx

More information

SMT943 APPLICATION NOTE 1 APPLICATION NOTE 1. Application Note - SMT372T and SMT943.doc SMT943 SUNDANCE MULTIPROCESSOR TECHNOLOGY LTD.

SMT943 APPLICATION NOTE 1 APPLICATION NOTE 1. Application Note - SMT372T and SMT943.doc SMT943 SUNDANCE MULTIPROCESSOR TECHNOLOGY LTD. APPLICATION NOTE 1 Application Note - SMT372T + SMT943 SMT943 SUNDANCE MULTIPROCESSOR TECHNOLOGY LTD. Date Comments / Changes Author Revision 07/07/10 Original Document completed CHG 1 Date 13/05/2010

More information

Microblaze for Linux Howto

Microblaze for Linux Howto Microblaze for Linux Howto This tutorial shows how to create a Microblaze system for Linux using Xilinx XPS on Windows. The design is targeting the Spartan-6 Pipistello LX45 development board using ISE

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

Xilinx Platform Studio tutorial

Xilinx Platform Studio tutorial Xilinx Platform Studio tutorial Per.Anderson@cs.lth.se April 12, 2005 This tutorial intend to show you how to create an initial system configuration. From Xilinx Platform Studio(XPS) version 6.1 this has

More information

Lab Environment and Miniproject Assignment

Lab Environment and Miniproject Assignment Lab Environment and Miniproject Assignment Spring 2009 ECE554 Digital Engineering Laboratory Lab Environment Ten 1.8 GHz Core 2 Duo Workstations with 4 GB RAM and 200GB Harddrives Design Tools Xilinx ISE

More information

Spartan -3A / Spartan -3AN Out of the box, now what? Eric Crabill Xilinx, Incorporated 04/01/2007

Spartan -3A / Spartan -3AN Out of the box, now what? Eric Crabill Xilinx, Incorporated 04/01/2007 Spartan -3A / Spartan -3AN Out of the box, now what? Eric Crabill Xilinx, Incorporated 04/01/2007 Agenda Introduction to the Starter Kit Features, Capabilities, and Uses Pre-Loaded Demo Kit Contents Summary

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Benchmarking the Performance of the Virtex-4 10/100/1000 TEMAC System Author: Kris Chaplin

Benchmarking the Performance of the Virtex-4 10/100/1000 TEMAC System Author: Kris Chaplin Application Note: Embedded Processing XAPP1023 (v1.0) October 3, 2007 Benchmarking the Performance of the Virtex-4 10/100/1000 TEMAC System Author: Kris Chaplin Abstract This application note provides

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

ML40x Getting Started Tutorial

ML40x Getting Started Tutorial ML40x Getting Started Tutorial For ML401/ML402/ML403/ML405 Evaluation Platforms R R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary

Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary Abstract 1553 bus is a military avionic bus that describes the mechanical, electrical

More information

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. This lab is based on: Xilinx Project Navigator, Release Version 14.6 Digilent Adept System Rev 2.7, Runtime Rev 2.16 Digilent

More information

Hands on Experience with AVR32

Hands on Experience with AVR32 Hands on Experience with AVR32 By: Mazhar Hussain mazhar.hussain @miun.se Muhammad Amir Yousaf 1 Tutorial Overview Introduction to AT32UC3A0512 (µ-controller) µ-controller Sensors Display Peripherals AVR

More information

C800 Core 2 Duo CompactPCI SBC

C800 Core 2 Duo CompactPCI SBC C8 Core 2 Duo CompactPCI SBC Rugged 3U CompactPCI Single-Slot SBC Intel T75/L75/U75 Core 2 Duo Processor @ 2.2/1.67/1.6 GHz On-chip 32 kb Data/32 kb Instruction L1 Cache On-chip 4 MB L2 Cache Intel GM965

More information

Using VxWorks BSP with Zynq-7000 AP SoC Authors: Uwe Gertheinrich, Simon George, Kester Aernoudt

Using VxWorks BSP with Zynq-7000 AP SoC Authors: Uwe Gertheinrich, Simon George, Kester Aernoudt Application Note: Zynq-7000 AP SoC XAPP1158 (v1.0) September 27, 2013 Using VxWorks BSP with Zynq-7000 AP SoC Authors: Uwe Gertheinrich, Simon George, Kester Aernoudt Summary VxWorks from Wind River: Is

More information

Reference Design: LogiCORE OPB USB 2.0 Device Author: Geraldine Andrews, Vidhumouli Hunsigida

Reference Design: LogiCORE OPB USB 2.0 Device Author: Geraldine Andrews, Vidhumouli Hunsigida XAPP997 (v1.1) June 14, 2010 Application Note: Embedded Processing eference Design: LogiCOE OPB USB 2.0 Device Author: Geraldine Andrews, Vidhumouli Hunsigida Summary The application note demonstrates

More information

Building and Using the ATLAS Transactional Memory System

Building and Using the ATLAS Transactional Memory System Building and Using the ATLAS Transactional Memory System Njuguna Njoroge, Sewook Wee, Jared Casper, Justin Burdick, Yuriy Teslyar, Christos Kozyrakis, Kunle Olukotun Computer Systems Laboratory Stanford

More information

ML507 Embedded Development Platform

ML507 Embedded Development Platform Application Note: Embedded Processing XAPP1114 (v1.2) January 16, 2009 Application Note: VxWorks 6.x on the ML507 Embedded Development Platform Author: Brian Hill Abstract This application note discusses

More information

UART Interrupt Creation on Spartan 3A

UART Interrupt Creation on Spartan 3A UART Interrupt Creation on Spartan 3A This tutorial will demonstrate the UART Interrupt based application. To show this we will build a simple Interrupt application that will use the hyper-terminal to

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT391 Document Issue Number 1.1 Issue Data: 19th July 2012

More information

Automatic Generation of Wind River VxWorks 6.7 Board Support Packages

Automatic Generation of Wind River VxWorks 6.7 Board Support Packages UG705 September 21, 2010 Automatic Generation of Wind River VxWorks 6.7 Board Support Packages Summary Overview This document describes the automatic generation of a Workbench Board Support Package (BSP)

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide

Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide Rev 0; 5/14 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design By Nasser Poureh, Avnet Technical Marketing Manager Mohammad Qazi, Maxim Application Engineer, SP&C Version 1.0 August 2010 1

More information

1-1 SDK with Zynq EPP

1-1 SDK with Zynq EPP -1 1SDK with Zynq EPP -2 Objectives Generating the processing subsystem with EDK SDK Project Management and Software Flow SDK with Zynq EPP - 1-2 Copyright 2012 Xilinx 2 Generating the processing subsystem

More information

User Guide Guangzhou Zhiyuan Electronics Stock Co., LTD

User Guide Guangzhou Zhiyuan Electronics Stock Co., LTD Platform EPCM-505C User Guide Guangzhou Zhiyuan Electronics Stock Co., LTD Safety information Electrical safety To prevent electrical shock hazard, disconnect the power cable from the electrical outlet

More information

475 Electronics for physicists Introduction to FPGA programming

475 Electronics for physicists Introduction to FPGA programming 475 Electronics for physicists Introduction to FPGA programming Andrej Seljak, Gary Varner Department of Physics University of Hawaii at Manoa November 18, 2015 Abstract Digital circuits based on binary

More information

Celeron EPIC Computer with GUI and Dual Ethernet SBC4685

Celeron EPIC Computer with GUI and Dual Ethernet SBC4685 Celeron EPIC Computer with GUI and Dual SBC4685 Features Ready to run Celeron/Pentium III computer Color flat-panel support Four serial ports CAN Bus interface PC/104 & PC/104-Plus expansion The SBC4685

More information

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual user s guide Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual Avnet Design Services 1 of 18 Rev 1.0 12/15/2004 Table of Contents 1 Overview... 5 2 Jumpers... 6 3 Personality Module Mechanicals... 8

More information

Figure 1 TCL Used to Initialize PS

Figure 1 TCL Used to Initialize PS MicroZed: FSBL and Boot from QSPI and SD Card: 6 September 2013 Version 2013_2.02 Overview Thus far, we have relied on the tools to configure the Zynq PS properly. Although it wasn t explicitly pointed

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

Use Vivado to build an Embedded System

Use Vivado to build an Embedded System Introduction This lab guides you through the process of using Vivado to create a simple ARM Cortex-A9 based processor design targeting the ZedBoard or Zybo board. Where the instructions refer to both boards,

More information

Lab 6: Intro to FPGAs

Lab 6: Intro to FPGAs Lab 6: Intro to FPGAs UC Davis Physics 116B Rev 2/22/2018 There s a saying when dealing with complex electronic systems: If you can make the LED blink, you re 90% of the way there., so in this lab you

More information

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board) Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board) Note: you will need the Xilinx ISE Webpack installed on your computer (or you

More information

Dual Processor Reference Design Suite Author: Vasanth Asokan

Dual Processor Reference Design Suite Author: Vasanth Asokan Application Note: Embedded Processing XAPP996 (v1.3) October 6, 2008 Dual Processor eference Design Suite Author: Vasanth Asokan Summary This is the Xilinx Dual Processor eference Designs suite. The designs

More information

Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs.

Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs. LAB Assignment #1 for ECE 443 Assigned: Mon., Aug. 24, 2016 Due: Wed., Sept. 26, 2016 Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs. This assignment is intentionally

More information

Reference System: Determining the Optimal DCM Phase Shift for the DDR Feedback Clock for Spartan-3E Author: Ed Hallett

Reference System: Determining the Optimal DCM Phase Shift for the DDR Feedback Clock for Spartan-3E Author: Ed Hallett XAPP977 (v1.1) June 1, 2007 R Application Note: Embedded Processing Reference System: Determining the Optimal DCM Phase Shift for the DDR Feedback Clock for Spartan-3E Author: Ed Hallett Abstract This

More information

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module TLL5000 Electronic System Design Base Module The Learning Labs, Inc. Copyright 2007 Manual Revision 2007.12.28 1 Copyright 2007 The Learning Labs, Inc. Copyright Notice The Learning Labs, Inc. ( TLL )

More information

SABRE for Automotive Infotainment Quick Start Guide. Smart Application Blueprint for Rapid Engineering Based on the i.mx 6 Series

SABRE for Automotive Infotainment Quick Start Guide. Smart Application Blueprint for Rapid Engineering Based on the i.mx 6 Series SABRE for Automotive Infotainment Quick Start Guide Smart Application Blueprint for Rapid Engineering Based on the i.mx 6 Series About SABRE Platform for Automotive Infotainment Based on the the i.mx 6

More information

Module 3: Adding Custom IP to an Embedded System

Module 3: Adding Custom IP to an Embedded System For Academic Use Only Systemy wbudowane laboratorium Uniwersytet Zielonogórski Wydział Elektrotechniki, Informatyki i Telekomunikacji Instytut Informatyki i Elektroniki Zakład InŜynierii Komputerowej Module

More information

Heterogeneous multi-processing with Linux and the CMSIS-DSP library

Heterogeneous multi-processing with Linux and the CMSIS-DSP library Heterogeneous multi-processing with Linux and the CMSIS-DSP library DS-MDK Tutorial AN290, September 2016, V 1.1 Abstract This Application note shows how to use DS-MDK to debug a typical application running

More information

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Getting Started Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your ML605 kit online at: http://www.xilinx.com/onlinestore/v6_boards.htm

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 5 Embedded Chipscope Debugging

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 5 Embedded Chipscope Debugging Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 5 Embedded Chipscope Debugging Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/17/2011 Table of Contents

More information

Figure 1: The logicraft-cc Platform - Free USB firmware

Figure 1: The logicraft-cc Platform - Free USB firmware logicraft-cc Companion Chip Platform January 31, 2011 Data Sheet Version: v2.10 Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: support@logicbricks.com

More information

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 Features RoHS 520MHz Low-power ARM processor w/ 800 x 600 Color LCD Power Over Ethernet and 10/100BASE-T Ethernet GPS module

More information