Introduction to Zynq

Size: px
Start display at page:

Download "Introduction to Zynq"

Transcription

1 Introduction to Zynq Lab 2 PS Config Part 1 Hello World October 2012 Version 02 Copyright 2012 Avnet Inc. All rights reserved

2 Table of Contents Table of Contents... 2 Lab 2 Objectives... 3 Experiment 1: Enable and map a Zynq PS UART peripheral... 3 Questions:... 7 Experiment 2: Configure Memory and Clocks for the Zynq PS... 8 Questions: Experiment 3: Build the hardware platform and export to SDK Experiment 4: Create and Run a Hello World application Questions: Exploring Further Revision History Resources Appendix Calculating DDR 3 PCB Lengths Questions: Answers Experiment Experiment Experiment Appendix Lab 2 : Page 2

3 Lab 2 Objectives When you have completed Lab 2, you will know how to do the following: Enable and map a Zynq PS UART peripheral Configure Memory and Clocks for the Zynq PS Build the hardware platform and export to SDK Create and run a Hello World application Experiment 1: Enable and map a Zynq PS UART peripheral At the conclusion of Lab 1, an ARM Processing System was added to the Embedded Source. The Zynq configuration tool became visible in the System Assembly View: Zynq tabs. We ll do something very simple in this step by enabling a single UART peripheral in the design and mapping it to the Multiplexed I/O (MIO). Figure 1 Zynq Hardware Configuration in XPS Lab 2 : Page 3

4 Experiment 1 General Instruction: Set the Bank voltages to LVCMOS 3.3V for Bank 0 and LVCMOS 1.8V for Bank 1. Enable the UART1 peripheral and map it to MIO[48:49]. Experiment 1 Step-by-Step Instructions: The System Assembly View shows that no ARM peripherals or Flash Memory interface are currently enabled. You can determine this since all peripheral and flash boxes are grey, as shown in Figure 2. This also means that no MIOs are connected. Figure 2 No Peripherals Activated 1. Click anywhere on the peripherals. This opens the Zynq PS MIO Configurations dialog. 2. Select the check box for Show I/O Standard Options. 3. Set Bank 0 I/O Voltage to LVCMOS 3.3V and Bank 1 I/O Voltage to LVCMOS 1.8V. Click Yes to confirm. The Bank voltage decisions on ZedBoard were made based on the interfacing devices (QSPI, PHYs, etc.). At this time, nothing is selected. Note that the MIOs on the right are listed in numerical order. However, the peripherals on the left are not listed in alphabetical order. The peripherals are listed from top to bottom in order of priority based on either their importance in the system (like the Flash) or how limited they are in their possible MIO mappings. The least flexible are at the top, while the most flexible (GPIO) is at the bottom. Lab 2 : Page 4

5 Figure 3 Initial View of MIO Configuration When mapping out a board, a designer should start at the top of the list and work their way down. A developer has to carefully balance which peripherals will map to the MIO and which ones are mapped to EMIO. However, to simplify this experiment, we will focus just on a single peripheral to show how the process works. 4. There are two UARTs, check the box in front of UART1. Click the pull-down for the IO. Notice that UART1 can be placed on EMIO or a number of MIO locations. Figure 4 UART1 IO Mapping Options Lab 2 : Page 5

6 5. Map the UART1 to MIO[48-49]. Notice if you scroll down the MIO table on the right, UART 1 is now identified with MIO[48..49]. Figure 5 UART1 Mapped to MIO[48:49] 6. Expand the UART1 options in the Peripheral table by clicking on the plus sign to the left of UART1. 7. Note that if you enable the Modem Signals by clicking the checkbox, they must go to EMIO. We don't need the Modem Signals now, so disable them by clicking the checkbox to clear the selection. 8. Click Close. Notice in the I/O Peripherals table that UART1 is now colored instead of grey, indicating that it is active. Figure 6 UART1 Peripheral Now Enabled Lab 2 : Page 6

7 Questions: Answer the following questions: How many MIOs are there for peripheral and Flash I/O mapping? What are the major differences between MIO and EMIO? Why are the Peripherals not listed alphabetically in the I/O Peripherals Configuration tool? Lab 2 : Page 7

8 Experiment 2: Configure Memory and Clocks for the Zynq PS A few critical Zynq PS elements must be configured before even a simple Hello World can be run. This includes the DDR3 memory, as it is the RAM that will execute the Zynq PS applications. Also, the system clocks must be configured correctly. Experiment 2 General Instruction: Use the Xilinx-provided memory timing spreadsheet to determine the proper parameters for the ZedBoard DDR3 delays. Configure the Memory GUI for a 32-bit interface using Micron MT41J128M16HA-15E components. Configure the clocks to operate the CPU at 667 MHz and the memory at 533 MHz. Experiment 2 Step-by-Step Instructions: 1. Click on the box for Clock Generation. Figure 7 PS Clock Generation Lab 2 : Page 8

9 2. Click on the icon to expand all the clocks. You should see the same view as shown in Figure 8. Figure 8 PS Clock Wizard Lab 2 : Page 9

10 Much on this screen works out by default to match ZedBoard. 3. Verify the following: o Input frequency is MHz o CPU frequency is MHz o DDR frequency is MHz Figure 9 ZedBoard Clock Settings You may also notice that the UART IO Peripheral Clock is enabled, with the IO PLL assigned as the clock source. However, the UART Peripheral Clock is fixed at 100 MHz. 4. Click Validate Clocks to confirm clock settings then press OK. Next, we will configure the memory controller. 5. Click on the box labeled Memory Interfaces. Figure 10 Launch PS7 DDR Configuration Lab 2 : Page 10

11 The PS7 DDR Configuration screen allows for configuration of the DDR Controller, the Memory Part, and the board details used for DDR interface training. Figure 11 Default Settings for PS7 DDR Configuration 6. Make sure that the Enable DDR Controller box is checked and the Memory Type is assigned to the DDR 3 option. Figure 12 DDR3 Controller Enabled Lab 2 : Page 11

12 7. Select the MT41J128M16HA-15E option from the Memory Part drop-down menu. Figure 13 Select Micron MT41J128M16HA-15E Notice how the Memory Part Configuration section becomes grayed out and populated with details specific to the selected memory device. If your memory device of choice was not in the default catalog, you could select Custom. Then these boxes would be available for manually entering timing parameters for your selected device. Figure 14 Auto-populated Parameters for Micron DDR3 on ZedBoard Lab 2 : Page 12

13 8. In the DDR Controller Configuration section, the Effective DRAM Bus Width must be assigned to 32-bits since we are using a 2x16 DDR3 configuration. Since we are using DDR3 on a 7Z020 device, the ECC and Burst Length settings are predetermined. Notice also that the operating Frequency has automatically been inherited from the Clock Configuration screen to be 533 MHz. 9. Check the box for Internal Vref. The operating temperature can remain at the Normal (0-85) range. Figure 15 DDR Controller Configuration 10. Setting the Training/Board Detail parameters are next. DRAM Training must be enabled for Write leveling, Read gate, and Read data eye options. Check those 3 boxes now. An explanation of what these are is in the Zynq TRM, Section Notice there are four entries to allow for DQS to Clock Delay (ns) and Board Delay (ns) information to be specified for each of the four byte lanes. These numbers assist the training algorithm with a starting point inside the DDR3 data valid window. All delays by default start at Keep in mind the parameters for these fields are specific to each individual PCB design. The values are based on the PCB trace lengths and the specific Zynq package chosen. XPS already understands which package has been chosen, but the user must enter several values for the PCB trace lengths. Lab 2 : Page 13

14 Figure 16 DRAM Training Enabled, Default Delays are all Click to open the area for entering PCB trace lengths. Click Yes to continue. Figure 17 Allow New Delay Values With 14.1 tools, Xilinx Answer Record originally provided a spreadsheet for calculating these numbers. Starting in 14.2, you no longer need this spreadsheet, but the information in the AR may be useful, so it is included in the Support Documents for your reference. Lab 2 : Page 14

15 Here is a view of the Delay Calculation tool when first expanded. Notice that the tool already knows the Package Lengths. The Length (mm) column needs to be filled in with the PCB trace lengths for ZedBoard. Figure 18 Default Settings for 7Z020-CLG484 Notice that there are 4 byte groupings, each of which contains: CLK clock DQS strobe DQ 8 bits of data The procedure to calculate these lengths is included in Appendix Calculating DDR 3 PCB Lengths. If you have enough time after you finish the lab, complete the exercises there. However, in the interest of time, the Length numbers will be given to you now. 12. Fill in the 12 values for Length (mm) as shown in Figure 19. Figure 19 ZedBoard PCB Lengths Calculated and Entered 13. Collapse the worksheet area now by clicking. Lab 2 : Page 15

16 14. Compare with the completed settings shown below, and then click OK. Figure 20 PS7 DDR Configuration Complete Keep in mind for LPDDR2 there is no write leveling, and for DDR2 there is no training whatsoever. In these memory use cases, the accuracy of the trace length info is more important. This is covered in further detail in section of the TRM. 15. Close the XPS project by selecting File Exit. The active PlanAhead tool session updates with the project settings. Lab 2 : Page 16

17 Questions: Answer the following questions: Write leveling on Zynq-7000 is not supported for which two types of memory? The Read Data Eye DRAM Training option of Zynq-7000 is not available for which memory type? Lab 2 : Page 17

18 Experiment 3: Build the hardware platform and export to SDK A basic ARM hardware platform is now configured. The configuration includes clock and DDR controller settings. It also enables and maps a UART peripheral. Now we ll build the hardware platform and export to the Software Development Kit (SDK) so that an application can be developed. Experiment 3 General Instruction: Add a top-level module for the design. Export the hardware to SDK. Experiment 3 Step-by-Step Instructions: 1. Expand Design Sources in the Sources pane, right-click system(system.xmp) and select Create Top HDL. Figure 21 Create Top HDL for Embedded System Lab 2 : Page 18

19 PlanAhead generates the system_stub.v top-level module for the design. Notice that the embedded system (system.xmp) is now a sub-module of system_stub. Figure 22 system_stub.v Generated and Added to Project 2. In the PlanAhead tool, select File Export Export Hardware for SDK The Export Hardware for SDK dialog box opens. By default, the Export Hardware check box is checked. Check the Launch SDK check box. Click OK. SDK now opens. The PlanAhead design tool exported the Hardware Platform Specification for your design (system.xml in this example) to SDK. In addition to system.xml, there are four more files exported to SDK. They are ps7_init.c, ps7_init.h, ps7_init.tcl, and ps7_init.html. The system.xml file opens by default when SDK launches. The address map of your system read from this file is shown by default in the SDK window. The ps7_init.c and ps7_init.h files contain the initialization code for the Zynq Processing System and initialization settings for DDR, clocks, plls, and MIOs. SDK uses these settings when initializing the processing system so that applications can be run on top of the processing system. Lab 2 : Page 19

20 Experiment 4: Create and Run a Hello World application In this experiment, you will use SDK to create and run a simple Hello World application. Experiment 4 General Instruction: Create the Standalone BSP. Generate and run the Hello World application. Experiment 4 Step-by-Step Instructions: 1. Select File New Xilinx Board Support Package. 2. Accept the default settings for the standalone BSP OS. Click Finish. Figure 23 Standalone BSP Lab 2 : Page 20

21 3. Click standalone. Note that the stdin and stdout are automatically set to the ps7_uart_1 peripheral, which is correct. Figure 24 stdin and stdout settings 4. Click Overview. No changes will be made to the BSP settings. None of the Supported Libraries are needed for this experiment. Click OK to accept the defaults and close this dialog. Figure 25 BSP Settings Lab 2 : Page 21

22 Based on the default settings in SDK, the BSP will automatically be built once added to the project. This take a minute to compile the new BSP. The standalone_bsp_0 is now visible in the Project Explorer. 5. Expand standalone_bsp_0 under the Project Explorer. Figure 26 BSP Added to the Project Lab 2 : Page 22

23 6. In SDK, select File New Xilinx C Project. 7. Select Hello World from the Select Project Template field. This will automatically change the default project name to hello_world_0, which is acceptable. Click Next >. Figure 27 New Xilinx C Project: Hello World Lab 2 : Page 23

24 8. Select the radio button for Target an existing Board Support Package. Since there is only one BSP in the project, the standalone_bsp_0 is automatically selected. Click Finish. Figure 28 Target the standalone_bsp_0 Lab 2 : Page 24

25 Notice that the hello_world_0 application is now visible in Project Explorer. By default, SDK will build the application automatically after it is added. C Software Application Board Support Package ARM Embedded Hardware Figure 29 Project Explorer View with Hello World C Application Added Figure 30 hello_world_0 Application Expanded Lab 2 : Page 25

26 Figure 31 Hello World Application Automatically Built 9. Connect the power cable to the ZedBoard. 10. Connect two micro-usb cables between the Windows Host machine and the ZedBoard connectors J17 (JTAG) and J14 (UART). 11. Set jumpers to Cascaded JTAG Mode MIO[6:2] = GND Figure 32 PLL Used, JTAG Boot, Cascaded JTAG: MIO[6:2] = Power on the ZedBoard. If this is the first time you've connected the Zedboard to this computer, you may see Windows install device drivers for the USB-UART and Digilent JTAG. Lab 2 : Page 26

27 13. Use Device Manager to determine the COM port for the Cypress USB-UART. In Windows 7, click Start Control Panel, and then click Device Manager. Click Yes to confirm. 14. Expand Ports. Note the COM port number for the Cypress Serial device. This example shows COM6. Figure 33 Find the COM port number for the Cypress Serial device 15. Right-click on the hello_world_0 application and select Run As Run Configurations 16. Select Xilinx C/C++ ELF and then click the New icon. 2 1 Figure 34 Create a New Xilinx C/C++ ELF Run Configuration Lab 2 : Page 27

28 SDK creates the new Run Configuration and automatically assigns a name to the configuration <application_name> Debug, which in this case is hello_world_0 Debug. Figure 35 New Run Configuration 17. Switch to the STDIO Connection tab. 18. Check the box for Connect STDIO to Console. 19. Select the PORT for the Cypress USB-UART. 20. Set the BAUD Rate to Figure 36 STDIO Connection Lab 2 : Page 28

29 21. Click Apply and then Run. 22. The tools will now initialize the processor, download the hello_world.elf to DDR, and then run hello_world. This takes approximately seconds to complete, depending on the USB traffic in your system. You can follow the progress in the lower right corner of SDK. Figure 37 Launching hello_world Progress SDK will download the Hello World ELF to the DDR3 and the ARM begins executing the code. 23. Close SDK. Figure 38 Hello World Complete Lab 2 : Page 29

30 Questions: Answer the following questions: Does the Hello World C source include Zynq initialization? How did the Zynq get initialized in this Hello World experiment? Lab 2 : Page 30

31 Exploring Further If you have more time and would like to investigate more Explore the source and include code provided with Hello World. Complete Appendix Calculating DDR 3 PCB Lengths This concludes Lab 2. Revision History Date Version Revision 11 Oct Initial release for ISE Oct Emphasized UART Settings in PS Config Resources Lab 2 : Page 31

32 Appendix Calculating DDR 3 PCB Lengths Zynq allows for up to 4 memory devices to be configured for DDR3 4x8 fly-by topology. ZedBoard is configured for DDR3 2x16 fly-by topology. For a description of fly-by topology, see Micron TN Figures 1 and Figure 7 show the basic daisy-chain topology used for Command/Address/Control/Clocks. With the two DDR3 chips, the DQS s and DQ s are all point-to-point. Those values can easily be obtained from a simple trace length report. However, because of the fly-by routing, the CLK routing is daisy-chained. ZedBoard only has one DDR3 clock pair, which goes to IC26 first and then IC25. The CLK trace length in the report is going to be the total length. Getting the CLK2 and CLK3 lengths will be more challenging, and we ll have to open the layout to get this measurement. First, let s calculate the length values that we can easily get from a trace length report. 1. A trace length report for ZedBoard is provided in the support_documents folder. Open ZedBoard_RevB_PCB_Trace_Length_Report.txt now. All the DDR3 signals are prefixed in this report with DDR3- so these are easy to search. For differential pairs, calculate the average length of the P and N traces. For the data busses, calculate the average of the Minimum and Maximum values in the set. Each chip services two byte groups, so you ll find 16 DQ s and 2 DQS s per chip, but only one CLK. Therefore, the CLK lengths for byte groups 0 and 1 are the same; CLK2 and CLK3 are also the same. 2. Use the trace length report to calculate and then enter the trace lengths for CLK0, DQS0, and DQ[7:0]. Pin Group CLK0 DQS0 DQ[7:0] ZedBoard Traces Used in Calculation DDR3-CLK0_N, DDR3-CLK0_P DDR3-DQS0_N, DDR3-DQS0_P DDR3-D[7:0] Length (mm) Since CLK2 and CLK3 must be calculated differently, we ll look at them next. CLK2 is associated with Byte Group 2. CLK3 is associated with Byte Group 3. Byte Groups 2 and 3 are driven from IC26. Since traces DDR3-CLK0_P/N route daisy-chain first to IC26 and then IC25, the length to the trace segments between Zynq and IC26 must be measured manually. This must be done in Altium or Altium Viewer, which is the layout source for Lab 2 : Page 32

33 ZedBoard. To simplify this exercise, these trace segments were previously measured and screen captured. 3. Average these two trace segments and enter for CLK2 and CLK3. Figure 39 Trace Segment DDR3-CLK0_N = mm Figure 40 Trace Segment DDR3-CLK0_P = mm Lab 2 : Page 33

34 4. Calculate the remaining lengths in similar fashion. Enter these now. Pin Group Length (mm) CLK1 DQS1 DQS2 DQS3 DQ[15:8] DQ[23:16] DQ[31:24] The completed worksheet should appear as shown below. Figure 41 ZedBoard PCB Lengths Calculated and Entered Lab 2 : Page 34

35 Questions: Answer the following questions: In a multi-component memory design, how do you calculate the CLK trace length for the first component in the daisy chain? How do you calculate the trace length for a DQ byte group? Lab 2 : Page 35

36 Answers Experiment 1 How many MIOs are there for peripheral and Flash I/O mapping? 54 total What are the major differences between MIO and EMIO? MIOs tied to PS; limited in number; can be configured from ARM; can be used for first-stage boot devices; better timing for some things, like USB-ULPI EMIO tied to PL; more in number; must configure the PL with bitstream to use; more flexible for some things, like ethernet Why are the Peripherals not listed alphabetically in the I/O Peripherals Configuration tool? Peripherals are listed from top to bottom in priority order. The ones at the top should typically be picked first as they are the least flexible, have fixed pins, or are a boot device. Experiment 2 Write leveling on Zynq-7000 is not supported for which two types of memory? LPDDR2 and DDR2 The Read Data Eye DRAM Training option of Zynq-7000 is not available for which memory type? DDR2 Lab 2 : Page 36

37 Experiment 4 Does the Hello World C source include Zynq initialization? No How did the Zynq get initialized in this Hello World experiment? When you created the Run Configuration, there was a Device Initialization tab. Here there is a field to point to an initialization TCL file. This is set by default to the ps7_init.tcl file that was created as part of the Export to SDK. Inside this TCL, you will find a number of XMD commands that initialize all the registers exactly how you specified in XPS. Appendix Pin Group ZedBoard Traces to Average Length (mm) CLK0 DDR3-CLK0_N, DDR3-CLK0_P Average( , ) = mm DQS0 DQ[7:0] DDR3-DQS0_N, DDR3-DQS0_P DDR3-D[7:0] Average ( , ) = mm Min = Max = Avg = mm Lab 2 : Page 37

38 Pin Group Length (mm) CLK DQS DQS DQS DQ[15:8] DQ[23:16] DQ[31:24] In a multi-component memory design, how do you calculate the CLK trace length for the first component in the daisy chain? You must open the layout tool to find the trace lengths for clk_p and clk_n from the Zynq to that component. Then average the _p and _n lengths. How do you calculate the trace length for a DQ byte group? Find the minimum length and the maximum length out of the 8 traces then average them. Lab 2 : Page 38

MicroZed: Hello World. Overview. Objectives. 23 August 2013 Version 2013_2.01

MicroZed: Hello World. Overview. Objectives. 23 August 2013 Version 2013_2.01 23 August 2013 Version 2013_2.01 Overview Once a Zynq Hardware Platform is created and exported from Vivado, the next step is to create an application targeted at the platform and see it operating in hardware.

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design October 6 t h 2017. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

Use Vivado to build an Embedded System

Use Vivado to build an Embedded System Introduction This lab guides you through the process of using Vivado to create a simple ARM Cortex-A9 based processor design targeting the ZedBoard development board. You will use Vivado to create the

More information

Zynq-7000 All Programmable SoC: Concepts, Tools, and Techniques (CTT)

Zynq-7000 All Programmable SoC: Concepts, Tools, and Techniques (CTT) Zynq-7000 All Programmable SoC: Concepts, Tools, and Techniques (CTT) A Hands-On Guide to Effective Embedded System Design Notice of Disclaimer The information disclosed to you hereunder (the Materials

More information

ZedBoard: Zynq-7000 AP SoC Concepts, Tools, and Techniques

ZedBoard: Zynq-7000 AP SoC Concepts, Tools, and Techniques ZedBoard: Zynq-7000 AP SoC Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design ZedBoard (Vivado 2014.2) Notice of Disclaimer The information disclosed to you hereunder

More information

Avnet Zynq Mini Module Plus Embedded Design

Avnet Zynq Mini Module Plus Embedded Design Avnet Zynq Mini Module Plus Embedded Design Version 1.0 May 2014 1 Introduction This document describes a Zynq standalone OS embedded design implemented and tested on the Avnet Zynq Mini Module Plus. 2

More information

ZedBoard: Zynq-7000 AP SoC Concepts, Tools, and Techniques

ZedBoard: Zynq-7000 AP SoC Concepts, Tools, and Techniques ZedBoard: Zynq-7000 AP SoC Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design ZedBoard (Vivado 2013.2) Notice of Disclaimer The information disclosed to you hereunder

More information

Use Vivado to build an Embedded System

Use Vivado to build an Embedded System Introduction This lab guides you through the process of using Vivado to create a simple ARM Cortex-A9 based processor design targeting the ZedBoard or Zybo board. Where the instructions refer to both boards,

More information

Figure 1 TCL Used to Initialize PS

Figure 1 TCL Used to Initialize PS MicroZed: FSBL and Boot from QSPI and SD Card: 6 September 2013 Version 2013_2.02 Overview Thus far, we have relied on the tools to configure the Zynq PS properly. Although it wasn t explicitly pointed

More information

ZedBoard: Zynq-7000 EPP Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design

ZedBoard: Zynq-7000 EPP Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design ZedBoard: Zynq-7000 EPP Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design ZedBoard (v14.1) Notice of Disclaimer The information disclosed to you hereunder (the Materials

More information

Zynq-7000 All Programmable SoC: Embedded Design Tutorial. A Hands-On Guide to Effective Embedded System Design

Zynq-7000 All Programmable SoC: Embedded Design Tutorial. A Hands-On Guide to Effective Embedded System Design Zynq-7000 All Programmable SoC: Embedded Design Tutorial A Hands-On Guide to Effective Embedded System Design Revision History The following table shows the revision history for this document. Date Version

More information

Creating a base Zynq design with Vivado IPI

Creating a base Zynq design with Vivado IPI Creating a base Zynq design with Vivado IPI 2013.2 based on: http://www.zedboard.org/zh-hant/node/1454 http://xillybus.com/tutorials/vivado-hls-c-fpga-howto-1 Dr. Heinz Rongen Forschungszentrum Jülich

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader Spartan-6 LX9 MicroBoard Embedded Tutorial Lab 6 Creating a MicroBlaze SPI Flash Bootloader Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/17/11 Table

More information

Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide

Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide Rev 0; 5/14 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 1 Creating an AXI-based Embedded System Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/15/2011 Table

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

Microblaze for Linux Howto

Microblaze for Linux Howto Microblaze for Linux Howto This tutorial shows how to create a Microblaze system for Linux using Xilinx XPS on Windows. The design is targeting the Spartan-6 Pipistello LX45 development board using ISE

More information

Fresno (MAXREFDES11#) ZedBoard Quick Start Guide

Fresno (MAXREFDES11#) ZedBoard Quick Start Guide Fresno (MAXREFDES11#) ZedBoard Quick Start Guide Rev 0; 4/13 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

Alameda (MAXREFDES24#) ZedBoard Quick Start Guide

Alameda (MAXREFDES24#) ZedBoard Quick Start Guide Alameda (MAXREFDES24#) ZedBoard Quick Start Guide Rev 0; 3/14 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

Hardware In The Loop (HIL) Simulation for the Zynq-7000 All Programmable SoC Author: Umang Parekh

Hardware In The Loop (HIL) Simulation for the Zynq-7000 All Programmable SoC Author: Umang Parekh Application Note: Zynq-7000 AP SoC XAPP744 (v1.0.2) November 2, 2012 Hardware In The Loop (HIL) Simulation for the Zynq-7000 All Programmable SoC Author: Umang Parekh Summary The Zynq -7000 All Programmable

More information

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Introduction: Modern FPGA s are equipped with a lot of resources that allow them to hold large digital

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq

Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq INF3430/INF4431 Autumn 2016 Version 1.2/06.09.2016 This lab exercise consists of 4 parts, where part 4 is compulsory

More information

Lab 1 - Zynq RTL Design Flow

Lab 1 - Zynq RTL Design Flow NTU GIEE, MULTIMEDIA SYSTEM-ON-CHIP DESIGN Lab 1 - Zynq RTL Design Flow Pin-Hung Kuo May 11, 2018 1 INTRODUCTION In this lab, we are going to build a simple Zynq system on ZedBoard. This system works as

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

Corona (MAXREFDES12#) ZedBoard Quick Start Guide

Corona (MAXREFDES12#) ZedBoard Quick Start Guide Corona (MAXREFDES12#) ZedBoard Quick Start Guide Rev 0; 4/13 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

MAXREFDES43# ZedBoard Quick Start Guide

MAXREFDES43# ZedBoard Quick Start Guide MAXREFDES43# ZedBoard Quick Start Guide Rev 0; 4/15 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product. No circuit

More information

TP : System on Chip (SoC) 1

TP : System on Chip (SoC) 1 TP : System on Chip (SoC) 1 Goals : -Discover the VIVADO environment and SDK tool from Xilinx -Programming of the Software part of a SoC -Control of hardware peripheral using software running on the ARM

More information

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008 Virtex-4 PowerPC Example Design R R 2007-2008 Xilinx, Inc. All Rights Reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks

More information

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23.

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23. In this demo, we will be using the Chipscope using three different flows to debug the programmable logic on Zynq. The Chipscope inserter will be set up to trigger on a bus transaction. This bus transaction

More information

Zynq System Architecture Design Lab Workbook Beta

Zynq System Architecture Design Lab Workbook Beta Zynq System Architecture Design Lab Workbook Beta Zynq System Architecture Design Lab Workbook Beta Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use

More information

Creating a Processor System Lab

Creating a Processor System Lab Lab Workbook Introduction This lab introduces a design flow to generate a IP-XACT adapter from a design using Vivado HLS and using the generated IP-XACT adapter in a processor system using IP Integrator

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 2 Adding EDK IP to an Embedded System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 2 Adding EDK IP to an Embedded System Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 2 Adding EDK IP to an Embedded System Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/16/2011 Table

More information

QSPI Flash Memory Bootloading In Standard SPI Mode with KC705 Platform

QSPI Flash Memory Bootloading In Standard SPI Mode with KC705 Platform Summary: QSPI Flash Memory Bootloading In Standard SPI Mode with KC705 Platform KC705 platform has nonvolatile QSPI flash memory. It can be used to configure FPGA and store application image. This tutorial

More information

MAXREFDES44# MicroZed Quick Start Guide

MAXREFDES44# MicroZed Quick Start Guide MAXREFDES44# MicroZed Quick Start Guide Rev 0; 5/15 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product. No circuit

More information

Parallella Linux - quickstart guide. Antmicro Ltd

Parallella Linux - quickstart guide. Antmicro Ltd Parallella Linux - quickstart guide Antmicro Ltd June 13, 2016 Contents 1 Introduction 1 1.1 Xilinx tools.......................................... 1 1.2 Version information.....................................

More information

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version 13.2.01 Revision History Version Description Date 12.4.01 Initial release for EDK 12.4 09 Mar 2011 12.4.02

More information

Vivado Design Suite User Guide: Embedded Processor Hardware Design

Vivado Design Suite User Guide: Embedded Processor Hardware Design Vivado Design Suite User Guide: Embedded Processor Hardware Design Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx

More information

Hello World on the ATLYS Board. Building the Hardware

Hello World on the ATLYS Board. Building the Hardware 1. Start Xilinx Platform Studio Hello World on the ATLYS Board Building the Hardware 2. Click on Create New Blank Project Using Base System Builder For the project file field, browse to the directory where

More information

ZC706 Built-In Self Test Flash Application April 2015

ZC706 Built-In Self Test Flash Application April 2015 ZC706 Built-In Self Test Flash Application April 2015 XTP242 Revision History Date Version Description 04/30/15 11.0 Recompiled for 2015.1. 11/24/14 10.0 Recompiled for 2014.4. 10/08/14 9.0 Recompiled

More information

Carmel (MAXREFDES18#) LX9 MicroBoard Quick Start Guide

Carmel (MAXREFDES18#) LX9 MicroBoard Quick Start Guide Carmel (MAXREFDES18#) LX9 MicroBoard Quick Start Guide Rev 0; 8/13 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

Getting Started with TargetOS on the ZedBoard 1 Introduction

Getting Started with TargetOS on the ZedBoard 1 Introduction Getting Started with TargetOS on the ZedBoard 1 Introduction This document covers how to get started with Blunk Microsystems TargetOS embedded operating system on the ZedBoard. It covers the following

More information

SP605 Standalone Applications

SP605 Standalone Applications SP605 Standalone Applications July 2011 Copyright 2011 Xilinx XTP064 Revision History Date Version Description 07/06/11 13.2 Up-rev 13.1 GPIO_HDR Design to 13.2. 03/01/11 13.1 Up-Rev 12.4 GPIO_HDR Design

More information

AXI Interface Based KC705. Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4)

AXI Interface Based KC705. Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4) AXI Interface Based KC705 j Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4) Software Tutorial Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided

More information

Using Serial Flash on the Xilinx Spartan-3E Starter Board. Overview. Objectives. Version 8.1 February 23, 2006 Bryan H. Fletcher

Using Serial Flash on the Xilinx Spartan-3E Starter Board. Overview. Objectives. Version 8.1 February 23, 2006 Bryan H. Fletcher Using Serial Flash on the Xilinx Spartan-3E Starter Board Version 8.1 February 23, 2006 Bryan H. Fletcher Overview The Xilinx Spartan-3E FPGA features the ability to configure from standard serial flash

More information

Estimating Accelerator Performance and Events

Estimating Accelerator Performance and Events Lab Workbook Estimating Accelerator Performance and Events Tracing Estimating Accelerator Performance and Events Tracing Introduction This lab guides you through the steps involved in estimating the expected

More information

Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial

Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial Version 13.2.01 Revision History Version Description Date 13.2.01 Initial release with support for ISE 13.2 tools Aug. 10, 2011 Page 2 of 30

More information

The Real-Time Kernel. For the Xilinx Zynq EPP. µc/os-ii Demo on the Xilinx Zynq ZC702 Evaluation Board. Walkthrough Guide V1.

The Real-Time Kernel. For the Xilinx Zynq EPP. µc/os-ii Demo on the Xilinx Zynq ZC702 Evaluation Board. Walkthrough Guide V1. µc/os-ii TM The Real-Time Kernel For the Xilinx Zynq -7000 EPP µc/os-ii Demo on the Xilinx Zynq -7000 ZC702 Evaluation Board V1.00 Micriµm Introduction This walkthrough guide provides an introduction to

More information

1-1 SDK with Zynq EPP

1-1 SDK with Zynq EPP -1 1SDK with Zynq EPP -2 Objectives Generating the processing subsystem with EDK SDK Project Management and Software Flow SDK with Zynq EPP - 1-2 Copyright 2012 Xilinx 2 Generating the processing subsystem

More information

CE 435 Embedded Systems. Spring Lab 3. Adding Custom IP to the SoC Hardware Debug. CE435 Embedded Systems

CE 435 Embedded Systems. Spring Lab 3. Adding Custom IP to the SoC Hardware Debug. CE435 Embedded Systems CE 435 Embedded Systems Spring 2018 Lab 3 Adding Custom IP to the SoC Hardware Debug 1 Introduction The first part of this lab guides you through the process of creating and adding a custom peripheral

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

427 Class Notes Lab2: Real-Time Clock Lab

427 Class Notes Lab2: Real-Time Clock Lab This document will lead you through the steps of creating a new hardware base system that contains the necessary components and connections for the Real-Time Clock Lab. 1. Start up Xilinx Platform Studio

More information

KC705 Si5324 Design October 2012

KC705 Si5324 Design October 2012 KC705 Si5324 Design October 2012 XTP188 Revision History Date Version Description 10/23/12 4.0 Recompiled for 14.3. 07/25/12 3.0 Recompiled for 14.2. Added AR50886. 05/08/12 2.0 Recompiled for 14.1. 02/14/12

More information

POWERLINK Slave Xilinx Getting Started User's Manual

POWERLINK Slave Xilinx Getting Started User's Manual POWERLINK Slave Xilinx Getting Started Version 0.01 (April 2012) Model No: PLALTGETST-ENG We reserve the right to change the content of this manual without prior notice. The information contained herein

More information

FMC-IMAGEON VITA Pass-Through Tutorial

FMC-IMAGEON VITA Pass-Through Tutorial FMC-IMAGEON VITA Pass-Through Tutorial Version 1.0 Revision History Version Description Date 1.0 VITA Pass-Through Tutorial Vivado 2013.3 version Mar 20, 2014 i Table of Contents Revision History... 1

More information

Integrating LogiCORE SEM IP in Zynq UltraScale+ Devices

Integrating LogiCORE SEM IP in Zynq UltraScale+ Devices XAPP1298 (v1.0.2) February 27, 2017 Application Note: Zynq UltraScale+ Devices Integrating LogiCORE SEM IP in Zynq UltraScale+ Devices Author: Michael Welter Summary This application note outlines how

More information

The Zynq Book. for Zybo and ZedBoard

The Zynq Book. for Zybo and ZedBoard The Zynq Book Tutorials for Zybo and ZedBoard The Zynq Book Tutorials for Zybo and ZedBoard Louise H. Crockett Ross A. Elliot Martin A. Enderwitz David Northcote Series Editors: Louise H. Crockett and

More information

AC701 Built-In Self Test Flash Application April 2015

AC701 Built-In Self Test Flash Application April 2015 AC701 Built-In Self Test Flash Application April 2015 XTP194 Revision History Date Version Description 04/30/14 11.0 Recompiled for 2015.1. Removed Ethernet as per CR861391. 11/24/14 10.0 Recompiled for

More information

SP601 Standalone Applications

SP601 Standalone Applications SP601 Standalone Applications December 2009 Copyright 2009 Xilinx XTP053 Note: This presentation applies to the SP601 Overview Xilinx SP601 Board Software Requirements SP601 Setup Multi-pin Wake-up GPIO

More information

Quick Start Guide ZedboardOLED Display Controller IP v1.0

Quick Start Guide ZedboardOLED Display Controller IP v1.0 Quick Start Guide Introduction This document provides instructions to quickly add, connect and use the ZedboardOLED v1.0 IP core. A test application running on an ARM processor system is used to communicate

More information

UltraZed -EV Starter Kit Getting Started Version 1.3

UltraZed -EV Starter Kit Getting Started Version 1.3 UltraZed -EV Starter Kit Getting Started Version 1.3 Page 1 Copyright 2018 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property of

More information

Introduction. About this tutorial. How to use this tutorial

Introduction. About this tutorial. How to use this tutorial Basic Entry & not About this tutorial This tutorial consists of an introduction to creating simple circuits on an FPGA using a variety of methods. There are two ways to create the circuit: using or by

More information

Zynq-7000 Platform Software Development Using the ARM DS-5 Toolchain Author: Simon George and Prushothaman Palanichamy

Zynq-7000 Platform Software Development Using the ARM DS-5 Toolchain Author: Simon George and Prushothaman Palanichamy Application Note: Zynq-7000 All Programmable SoC XAPP1185 (v1.0) November 18, 2013 Zynq-7000 Platform Software Development Using the ARM DS-5 Toolchain Author: Simon George and Prushothaman Palanichamy

More information

The Zynq Book Tutorials

The Zynq Book Tutorials The Zynq Book Tutorials Louise H. Crockett Ross A. Elliot Martin A. Enderwitz Robert W. Stewart Department of Electronic and Electrical Engineering University of Strathclyde Glasgow, Scotland, UK v1.2

More information

Adding Custom IP to the System

Adding Custom IP to the System Lab Workbook Introduction This lab guides you through the process of creating and adding a custom peripheral to a processor system by using the Vivado IP Packager. You will create an AXI4Lite interface

More information

Running vxworksrtos on the. Mechatronics Laboratory

Running vxworksrtos on the. Mechatronics Laboratory Running vxworksrtos on the XUPV2P board Mechatronics Laboratory TheDigilentXUPV2P board (XilinxUniversityProgramVirtexII-Pro) The Digilent XUPV2P board Virtex-2 Pro XC2VP30 FPGA with 30,816 Logic Cells,

More information

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design By Nasser Poureh, Avnet Technical Marketing Manager Mohammad Qazi, Maxim Application Engineer, SP&C Version 1.0 August 2010 1

More information

WP3: GPS and Time-Tagging Case Western Reserve University (Cleveland, USA)

WP3: GPS and Time-Tagging Case Western Reserve University (Cleveland, USA) WP3: GPS and Time-Tagging Case Western Reserve University (Cleveland, USA) Corbin Covault, Robert Halliday Robert Sobin, Andrew Ferguson SDE Electronics CDR Orsay, February 2015 WP3: GPS and Time-Tagging

More information

10/02/2015 Vivado Linux Basic System

10/02/2015 Vivado Linux Basic System Contents 1 History... 2 2 Introduction... 2 3 Open Vivado... 3 4 New Project... 4 5 Project Settings... 12 6 Create Processor System... 13 6.1 New Block Diagram... 13 6.2 Generate Output Products... 17

More information

Configuring the Xilinx Spartan-6 LX9 MicroBoard

Configuring the Xilinx Spartan-6 LX9 MicroBoard Configuring the Xilinx Spartan-6 LX9 MicroBoard Version 1.3 Table of Contents Table of Contents... 2 Table of Figures... 3 Revision History... 4 Overview... 5 Configuration and Programming via the on-board

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 5 Embedded Chipscope Debugging

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 5 Embedded Chipscope Debugging Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 5 Embedded Chipscope Debugging Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/17/2011 Table of Contents

More information

LED display manager documentation

LED display manager documentation LED display manager documentation Clément Foucher (homepage) Clement.Foucher@laas.fr LAASCNRS Laboratoire d'analyse et d'architecture des systèmes Version 1.0 This work is licensed under the Creative Commons

More information

Fremont (MAXREFDES6#) Nexys 3 Quick Start Guide

Fremont (MAXREFDES6#) Nexys 3 Quick Start Guide Fremont (MAXREFDES6#) Nexys 3 Quick Start Guide Rev 0; 9/13 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator Vivado Design Suite User Guide Designing IP Subsystems Using IP Integrator Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use

More information

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Application Note: Embedded Processing XAPP935 (v1.1) June 7, 2007 R Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Abstract This reference system demonstrates the functionality of

More information

Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs.

Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs. LAB Assignment #1 for ECE 443 Assigned: Mon., Aug. 24, 2016 Due: Wed., Sept. 26, 2016 Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs. This assignment is intentionally

More information

FMC-IMAGEON Building a Video Design from Scratch Tutorial

FMC-IMAGEON Building a Video Design from Scratch Tutorial FMC-IMAGEON Building a Video Design from Scratch Tutorial Version 1.3 Revision History Version Description Date 1.0 HDMI Pass-Through + AXI4-Stream tutorial Nov. 12, 2012-14.3 version 1.1 Updated fmc_imageon_sw

More information

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly! This tutorial will show you how to: Part I: Set up a new project in ISE 14.7 Part II: Implement a function using Schematics Part III: Simulate the schematic circuit using ISim Part IV: Constraint, Synthesize,

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

10/02/2015 PetaLinux Image with Custom Application

10/02/2015 PetaLinux Image with Custom Application Contents 1 History... 3 2 Introduction... 3 3 Vivado Project... 4 3.1 Open Vivado... 4 3.2 New Project... 5 3.3 Project Settings... 13 3.4 Create Processor System... 14 3.4.1 New Block Diagram... 14 3.5

More information

EDK Concepts, Tools, and Techniques

EDK Concepts, Tools, and Techniques EDK Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection

More information

SP605 Built-In Self Test Flash Application

SP605 Built-In Self Test Flash Application SP605 Built-In Self Test Flash Application March 2011 Copyright 2011 Xilinx XTP062 Revision History Date Version Description 03/01/11 13.1 Up-rev 12.4 BIST Design to 13.1. 12/21/10 12.4 Up-rev 12.3 BIST

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil Khatri TA: Monther Abusultan (Lab exercises created by A. Targhetta / P. Gratz)

More information

Campbell (MAXREFDES4#) Nexys 3 Quick Start Guide

Campbell (MAXREFDES4#) Nexys 3 Quick Start Guide Campbell (MAXREFDES4#) Nexys 3 Quick Start Guide Rev 0; 1/13 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim Rod Strange Business Development Manager Teraspeed Consulting A Division of Samtec April 2016 Outline Objective/Goal DDR4 vs. DDR3 from the SI/PI

More information

User Manual. LPC-StickView V3.0. for LPC-Stick (LPC2468) LPC2478-Stick LPC3250-Stick. Contents

User Manual. LPC-StickView V3.0. for LPC-Stick (LPC2468) LPC2478-Stick LPC3250-Stick. Contents User Manual LPC-StickView V3.0 for LPC-Stick (LPC2468) LPC2478-Stick LPC3250-Stick Contents 1 What is the LPC-Stick? 2 2 System Components 2 3 Installation 3 4 Updates 3 5 Starting the LPC-Stick View Software

More information

EDK Concepts, Tools, and Techniques

EDK Concepts, Tools, and Techniques EDK Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection

More information

Programming External SPI Flash through JTAG for ECP5/ECP5-5G Technical Note

Programming External SPI Flash through JTAG for ECP5/ECP5-5G Technical Note Programming External SPI Flash through JTAG for ECP5/ECP5-5G FPGA-TN-02050 Version 1.0 October 2017 Contents Acronyms in This Document... 4 1. Introduction... 5 1.1. Features... 5 2. Programming External

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P. Khatri Lab exercise created and tested by: Abbas Fairouz, Ramu Endluri, He Zhou,

More information

Interested users may wish to obtain additional components to evaluate the following modules:

Interested users may wish to obtain additional components to evaluate the following modules: Analog Essentials Getting Started Guide Overview Maxim Analog Essentials are a series of plug-in peripheral modules that allow engineers to quickly test, evaluate, and integrate Maxim components into their

More information

SENSOR-PMD S ENSOR PERIPHERAL MODULE USER S GUIDE. 1. Introduction Features Si7020 Relative Humidity and Temperature Sensor

SENSOR-PMD S ENSOR PERIPHERAL MODULE USER S GUIDE. 1. Introduction Features Si7020 Relative Humidity and Temperature Sensor S ENSOR PERIPHERAL MODULE USER S GUIDE 1. Introduction The Silicon Labs Sensor-PMD board is made to plug into the Avnet Xilinx MicroZed and ZedBoard. It contains the Si7020 humidity and temperature sensor,

More information

Requirement ZYNQ SOC Development Board: Z-Turn by MYiR ZYNQ-7020 (XC7Z020-1CLG400C) Vivado and Xilinx SDK TF Card Reader (Micro SD) Windows 7

Requirement ZYNQ SOC Development Board: Z-Turn by MYiR ZYNQ-7020 (XC7Z020-1CLG400C) Vivado and Xilinx SDK TF Card Reader (Micro SD) Windows 7 Project Description The ARM CPU is configured to perform read and write operations on the Block Memory. The Block Memory is created in the PL side of the ZYNQ device. The ARM CPU is configured as Master

More information

Vivado Design Suite Tutorial. I/O and Clock Planning

Vivado Design Suite Tutorial. I/O and Clock Planning Vivado Design Suite Tutorial I/O and Clock Planning Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

PlanAhead Software Tutorial

PlanAhead Software Tutorial PlanAhead Software Tutorial RTL Design and IP Generation The information disclosed to you hereunder (the Information ) is provided AS-IS with no warranty of any kind, express or implied. Xilinx does not

More information