VWorks Virtual Platforms for Renesas Processors

Size: px
Start display at page:

Download "VWorks Virtual Platforms for Renesas Processors"

Transcription

1 VWorks Virtual Platforms for Renesas Processors Renesas Electronics America Inc.

2 Renesas Technology & Solution Portfolio 2

3 Agenda Overview of VLAB Virtual Platforms Why Use Virtual Platforms? Getting Started With VLAB Lab 1: VLAB Lab 2: FX4/V850E2 Simulation Lab 3: Debugging Lab 4: Working with Virtual Platform Netlists. Summary 3

4 Overview of VLAB Virtual Platforms For embedded software and system developers who use Renesas processors VLAB virtual prototyping solutions can accelerate development! Applications: Automotive Develop and debug software on the VWorks VLAB virtual platform for Renesas processors: Learn advanced techniques using software-based virtual platforms for hardware, embedded software and system simulation Build a simple virtual ECU, and experience the capability of virtual platform technology for development, test and debug with handson use of SystemC based, timed processor models Use VWorks virtual prototype models for Renesas Fx4/V850E2 Leverage capabilities and advanced techniques: Rapidly and interactively prototype different on- and off-chip architectures and system configurations Debug and analyze embedded software: deeply embedded software, driver software, base software and other ECU software Benefit from observability, controllability, repeatability, and programmability Mobile And more. 4

5 Why Use Virtual Platforms? 5

6 Before Hardware Availability Design capture, executable specification Architecture exploration, what if Performance analysis Early verification and validation, test creation Early start to software development Pre-Spec Spec RTL and Physical Design FPG A Si Samples Initial VP Developed VP updates to support Hw design and verification and Sw development 6

7 After Hardware Availability Instantaneous deployment and updates No hardware test-bench devices and real estate Easy to modify and re-configure 7

8 Killer Feature: System-Level Debug Non-intrusiveness Controllability Repeatability Visibility Programmability 8

9 What is VLAB? VLAB is an interactive and programmable environment for: Rich Electronic System Simulation applications Virtual Hardware Platforms 9

10 VLAB Simulation Applications Seamless integration of VLAB Simulation models and objects User scripts and customizations Test scenarios and test infrastructure Target software 10

11 Benefits for Virtual Platform Creators Ease of Adoption Native standard SystemC support No model code changes No vendor lock in Maximize Productivity No rebuilds Write less, do more Detailed instrumentation 11

12 VP as a Model of a Hardware Board Benefits of using a virtual platform May be available prior to hardware availability It is (usually) just software: easy to source and deploy in large numbers Better controllability, visibility, and instrumentation Easy to modify, reconfigure and experiment May offer faster than real-time performance: good for regressions May offer a lower cost solution However, a VP does not behave the same as hardware board Not all peripherals may be modeled Some aspects of hardware behavior may be simplified The timing behavior of a VP may be different 12

13 What can VLAB do? Import and integrate into a platform ASTC supplied, or your own, or 3rd party SystemC models Load a pre-assembled Virtual Platform, e.g. Fx4 Develop, assemble and configure your own new Virtual Platform Modify and re-configure at run time an existing Virtual Platform Create a new derivative SoC platform: extend an existing Virtual Platform Run (simulate) a Virtual Platform Debug and analyze target software running on a VP 13

14 Where is SystemC in VLAB SystemC is at the heart of VLAB VLAB runs native SystemC simulations, no proprietary API Any SystemC model can be used in VLAB with no modifications Any VLAB model can be built and used in another SystemC simulation e.g. OSCI VLAB provides Python scripting interfaces for ease of use and simplicity Complete SystemC API is accessible from Python Value-added features not present in other SystemC-based simulation tools 14

15 Do I need to know Python? VLAB embeds a complete Python interpreter and runtime All VLAB commands are in Python Most of VLAB is implemented in Python Does this mean you have to know Python? You do not have to know Python: all VLAB features are designed to require only basic Python You can choose to implement simulator features in C++ just as you would in the OSCI environment Would I benefit by learning a bit more about Python? Why would I make that investment? Yes, you can benefit this will unlock many possibilities and flexibilities in the tool Python is known to be about 3x more expressive than C++ Python is widely recognized as being very easy to learn 15

16 Software and Hardware Requirements VLAB runs on the following host Operating Systems Microsoft Windows XP SP3, Windows 7 (32-bit) RedHat Enterprise Linux 4.x, 5.x (32-bit, x86 architecture) Ubuntu 8.x, 9.x, (32-bit, x86 architecture) Models have to be built using the following toolchains Microsoft Visual Studio C SP1 or 2010 on Windows GCC 3.4.x on RHEL4 GCC 4.1.x on RHEL5 and Ubuntu Hardware requirements Intel Pentium-M compatible processor (Core 2 Duo recommended) Minimum of 2GB of RAM (4GB recommended) 1 GB of free disk space for VLAB and toolbox installation At least 5GB of free disk space for advanced instrumentation log files 16

17 Documentation VLAB user documentation is available in $VLAB_HOME/doc: VLAB User Manual (PDF) OCE User Manual (PDF) On Windows, the above is accessible via Start->Programs->VWorks->VLAB->doc Documentation for ASTC provided content toolboxes (e.g. Fx4) is also available in $VLAB_HOME/toolbox/<toolboxname>/doc On Windows, one can use Start->Programs->VWorks->Fx4 Toolbox->doc In the rest of this material, all references to the VLAB User Manual refer to version 1.7.5, and may change with future VLAB versions. 17

18 Notation Throughout this training and exercises, the following terminology has been adopted: Anything following VLAB> is a command to be typed into VLAB and will appear in the following font. Note: VLAB> should be omitted. VLAB> In exercises, text preceded by a # is a comment. Text preceded by a > should be typed on the Windows command prompt. Note: > should be omitted 18

19 Getting Started with VLAB 19

20 Starting VLAB Two ways to run VLAB Text Mode > %VLAB_HOME%\vlab VLAB> exit() Graphical Mode > %VLAB_HOME%\vlab --gui VLAB commands are functions thus always end in () and may also take arguments (same as a standard Python interpreter) 20

21 Starting VLAB Text Mode Graphical Mode 21

22 Loading a Simulator Let s load the VLAB Fx4 Toolbox and poke around a bit From the command prompt (in an example test directory) vlab fx4.sim From within VLAB VLAB> load_sim("fx4.sim") Check which VLAB version is running VLAB> version() Show all instances in the simulator VLAB> show_instances() Show all signal ports in the simulator VLAB> show_ports() Show all bus ports (TLM sockets) in the simulator VLAB> show_ports(kind= bus ) 22

23 Running a Simulator Let s see what registers exist in FX4.FCNA0" VLAB> show_registers("fx4.fcna0") We can now run the simulator for a short while (10 ns) VLAB> run(10) We can query the simulation time to check the progress of the simulation VLAB> simulation_time() Pause the simulation VLAB> pause() Add a hardware, software or time even breakpoint VLAB> add_break( ) Log simulation data in either Text, ODA, or VCD formats VLAB> add_trace("fx4 ) 23

24 The VLAB GUI Window 24

25 Graphical Mode in VLAB The VLAB "graphical mode" (GUI) is designed to complement the VLAB command interface All actions available via the GUI have their command counterparts It is possible to automate the manual steps performed via the GUI by using scripts (sequences of commands) The GUI is the preferred mode of learning VLAB Presents information in a logical manner Familiarize yourself with the available menus, panes, and toolbars Discover new functionality Learn new commands by merely interacting with the GUI 25

26 VLAB Sessions VLAB s graphical mode supports multiple simulation sessions Sessions can be started using the Session Toolbar or the File- >New Session menu item New sessions can be started in parallel with an existing session to execute concurrent simulations An existing session can be reloaded using the initial session launch parameters 26

27 The Dashboard: Workspace Pane The workspace pane presents itself upon VLAB GUI startup, and offers quick convenient access to items, including: Favorite scripts, trace files, and VLAB commands Pre-installed toolboxes with related documentation and scripts File system access to VLAB_PATH and local directories 27

28 The Dashboard: System Pane System Pane presents a tree view of the simulated hardware structure to Explore the simulation object hierarchy View and select display format of ports, attributes, and register values Change values of ports and registers Navigate port connectivity Access to advanced feature via context sensitive menus available via a right-click 28

29 The Dashboard: Breakpoints Pane Breakpoints Pane displays the state of the hardware breakpoints in the current session Context menu accessible via right-click Breakpoints can be enabled, disabled, or removed More details on use of breakpoint will be provided later in the training 29

30 The Dashboard: History Pane History pane contains previously executed commands Separate entry for each session Can select one or many commands Commands can be executed through the console, making repetitive tasks easier to manage 30

31 Console and Output Panes Output pane reflect all user interactions, including commands and simulator output Supports text select and copy Unlimited buffer Very fast scrolling Console pane is located directly under the output pane Supports syntax highlighting Grows as needed (e.g. multiline input) Command help and autocompletion 31

32 Working with Port and Register Values Once SystemC elaboration is complete, VLAB provides a mechanism to query the values of ports and registers Ports VLAB> read_port( example.core0.int ) Registers VLAB> read_register( example.sage0.config ) Registers can also be modified VLAB> write_register( example.sage0.config,4) All of the above can be done via the GUI as well 32

33 Accessing Simulation Objects So far, we have always provided hierarchical object names to identify which simulation objects we want to operate on It is often convenient to use scripting variables as references to objects Access a single instance (sc_module object) VLAB> inst1 = get_instance( example.core0 ) Access a group of instances VLAB> insts1 = get_instances( example,recurse=true) Access a single port by name VLAB> port1 = get_port( example.core0.int ) Access a group of ports, as a list VLAB> ports1 = get_ports( example.core0 ) 33

34 Tracing port or instance connectivity It is often required to review/verify the connections of a port or instance in the simulation This feature is available via the System Pane, via right-click > Destinations This is also available via the get_connections command inst = get_instance( example.core0 ) conn = get_connections(inst) We can examine the names of the connections for c in conn: print c However, there is a more direct and user friendly way to inspect connections on the console: show_connections(inst) 34

35 Lab 1: VLAB Quick Overview 35

36 ASTC Virtual Platform Technology and Solutions: Fx4 Toolbox - Introduction 36

37 Fx4 Toolbox - Introductions The Fx4 Toolbox is a content toolbox for VLAB that provides components for simulation of Renesas Fx4 family microcontrollers. The components include peripheral models, cores, memories, buses. Load software images onto core for execution. Hardware analysis and debugging with VLAB tools. Software debugging with Green Hills Multi IDE Supports attachment of external connections via testbenches for: Connection of loopbacks between pins Connection of external stimulus Connection of routers, can buses etc. Configuration of model attributes Setting up tracing and other simulation options 37

38 Hardware Architecture Fx4 Toolbox includes the following components: V850E2R/M Core Interrupt Controller Memories Buses DMA Real Time Clock CSIG & CSIH IO Ports Key Return UARTE Timer A & J Watchdog Timer ADC TAOPA Analog Comparator Random Number Generator Data CRC Clock Monitor PRCCM (Reset/LVI/Clock Module) OS Timer ERAY (FlexRay) Encoder Multi-LIN afcan Digital Filters I2C Ethernet 38

39 Toolbox Architecture 39

40 Modes of Operation SCHEAP Mode (default) Uses cycle accurate CPU sub system (ISS, memories, buses) High accuracy timing simulation Most complete CPU model (protection units etc.) Slower execution speed (~0.17 MIPS), but good for low-level analysis. FastISS Mode (--fastiss) High performance ISS model Functional model with most necessary components Simplified memory and bus models Execution speed (400+ MIPS), for fast loading of complex applications. In both modes, peripheral models (e.g. CAN, timers) have the same accuracy. 40

41 What can you do with the VP? Almost everything you can do with a HW board: Load compiled software Execute applications Connect a software debugger Analyze and inspect software behavior, use SW breakpoints etc. A lot that that you can t do with a HW board: Inspect internal state of the simulation: ports, registers, buses Pause and manipulate time Use hardware breakpoints (ports, buses, register, state changes) Trace detailed logs of execution behavior Inject faults and errors Get warnings on incorrect module usage and info about internal state + much more. 41

42 Lab 2: FX4/V850E2 Simulation 42

43 Exploring connections Run the timera_timerj test with testbench 43

44 Setting HW Breakpoints Right click TAUATINT0" Add a breakpoint: Look at "Breakpoints" 44

45 Testbench Configuration Connecting Port Loopback connect(("fx4","port_p1_0"), ("FX4","Port_P0_12")) Setting Attributes set_attribute("fx4.etha0","input_pcap_filename", "ethernet_input.pcap ) Connection communication lines a loop # Connect CSIG0 to CSIG1 for i in range (0, 32): #CONTROL connect(("fx4","csig0_control%i"%i), ("FX4","CSIG1_CONTROL%i"%i)) #DATA connect(("fx4","csig0_sdo%i"%i),("fx4","csig1_sdi%i"%i)) connect(("fx4","csig1_sdo%i"%i),("fx4","csig0_sdi%i"%i)) 45

46 Testbench Configuration AFCAN Example # Instantiate the CAN bit bus router can_bus_component = component(name="can_bit_bus_router_logic", library="pf3utils") CAN_BUS = instantiate(can_bus_component, "CAN_BUS") # Connect CAN bus to CAN instances 0~4 through GPIOs #RX FCNA0-4 connect(("fx4","port_p0_4"), ("CAN_BUS","RX",0)) connect(("fx4","port_p0_7"), ("CAN_BUS","RX",1)) connect(("fx4","port_p0_9"), ("CAN_BUS","RX",2)) connect(("fx4","port_p0_11"), ("CAN_BUS","RX",3)) connect(("fx4","port_p2_2"), ("CAN_BUS","RX",4)) #TX FCNA0-4 connect(("can_bus","tx"), [("FX4","Port_P0_5"), ("FX4","Port_P0_6"), ("FX4","Port_P0_8"), ("FX4","Port_P0_10"),("FX4","Port_P2_0")]) 46

47 Command Line Parameters Options: --version show program s version number and exit -h, --help show this help message and exit -c FILE, --heap-config=file The filename of the heap configuration file to use. -n cycles, --num-cycles=cycles The number of cycles to execute for. If not supplied, use run() at the vlab prompt. (Do not use -n with the gui) -t FILE, --testbench=file Specifies the testbench file to use. -v, --vcd-trace Turns on vcd tracing of all model ports. -m ELFFILE, --multi=elffile Launches the ghs multi debugger with the specified elf file. Requires the MULTI_ROOT environment variable to be set. --DX4 Initializes the platform in DX4 mode (default is FX4) --DX4H Initializes the platform in DX4H mode (default is FX4) --SX4 Initializes the platform in SX4 mode (default is FX4) --fastiss Use the FastISS core and simplified bus & timing model. -q nanoseconds, --quantum-period=nanoseconds Sets the quantum period in ns to use when in fastiss mode. Default is 1000ns 47

48 Software Debugging Software debugging is supported with Green Hills Multi IDE Compile an elf file (not srecord/hex). In the example this is *.out Set env variable MULTI_ROOT=<path to multi install> Requires a license for the rteserv2 component on Multi Execute with m option show in previous slide. SCHEAP Mode Debugging VLAB has limited updates and accessibility during debugging. FastISS mode debugging Hardware breakpoints will trigger software breaks in Multi Software breakpoints will update VLAB state Hardware breakpoints and pauses/stop will occur at end of qunatum 48

49 Lab 3: Debugging 49

50 Tracing HW State "ODA": OSCAR Data Analyzer Right-click TAUA0 to trace all TimerA trace points: 50

51 Tracing HW State... Use a command to add more trace points: VLAB> add_trace( FX4.TAUA0", sink=trace.sink.oda) Run the simulation: VLAB> run() Use view->trace to show ODA: Events Register Values 51

52 Tracing HW State with VCD Use the System View to VCD trace the TAUA0: Run the simulation: VLAB> run() Wait for the example to complete, then exit and view VCD VLAB> exit() gtkwave fx4sim.vcd 52

53 Lab 4: Working with Virtual Platform Netlists 53

54 Some Terminology A VLAB virtual platform description is the equivalent of an IC integration topsheet It specifies what is in the platform, and how it is connected It also specifies what is the external interface of the VP It may provide mechanisms for configuring the platform in different ways A virtual platform is composed of a hierarchy of component instances. A component may be a composite component (a subsystem) or a leaf component (an individual model). The Python language is used to assemble and configure a virtual platform. The following slide shows an example virtual platform. 54

55 Example Virtual Platform 55

56 Elements of a VP Description A component may be a composite or leaf component. Composite Component is formed by the composition of leaf or other composite components. is composed of instances, connections, exports and assignments. Leaf Component are components that do not contains any sub-components. may be SystemC modules. 56

57 Elements of a VP Description (cont.) Instance An instance of a simulation component, created using vlab.instantiate(). An instance contains simulation state, and may be connected to other instances to create a full simulation. Connections A communicative link between endpoints. In hardware terms, a connection is a signal, wire or net. A connection may be one-toone, one-to-many, many-to-one or many-to-many. A connection is created using vlab.connect(). component() Library instantiate() connect() 57

58 Elements of a VP Description (cont.) Assignments Set a value to some entity within an instance. A common example is the assignment of a value to an instance attribute. Exports An entity from an instance that has been exposed so that it may be accessed from a higher level of the system hierarchy. Examples of entities that may exported from an instance include: ports, bus interfaces and attributes. component() export() Library instantiate() connect() 58

59 Assembling a Virtual Platform The following slides show example VLAB Assembly API code 59

60 Simple SoC Example Description Example SoC The Python module shown here is the description of a fictitious component called SimpleSOC, which is composed of an ARMCore, BusRouter and timer subcomponents. SimpleSOC extbus reset CORE ROUTER TIMER rev:1c 60

61 VLAB "Example Toolbox" Description VLAB Example Toolbox The Python module shown here is the description of the VLAB Example Toolbox. 61

62 Summary: VLAB Virtual Platforms For embedded software and system developers who use Renesas processors VLAB virtual prototyping solutions can accelerate development! Applications: Automotive Develop and debug software on the VWorks VLAB virtual platform for Renesas processors: Learn advanced techniques using software-based virtual platforms for hardware, embedded software and system simulation Build a simple virtual ECU, and experience the capability of virtual platform technology for development, test and debug with handson use of SystemC based, timed processor models Use VWorks virtual prototype models for Renesas Fx4/V850E2 Leverage capabilities and advanced techniques: Rapidly and interactively prototype different on- and off-chip architectures and system configurations Debug and analyze embedded software: deeply embedded software, driver software, base software and other ECU software Benefit from observability, controllability, repeatability, and programmability Mobile And more. 62

63 Questions? 63

64 Renesas Electronics America Inc.

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Embedded Software Dynamic Analysis. A new life for the Virtual Platform

Embedded Software Dynamic Analysis. A new life for the Virtual Platform Embedded Software Dynamic Analysis A new life for the Virtual Platform The Software Part of HW/SW Co-Design Integrated with DA flow: regression and up-to-date interfaces Simultaneous development and test

More information

Software Quality is Directly Proportional to Simulation Speed

Software Quality is Directly Proportional to Simulation Speed Software Quality is Directly Proportional to Simulation Speed CDNLive! 11 March 2014 Larry Lapides Page 1 Software Quality is Directly Proportional to Test Speed Intuitively obvious (so my presentation

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

BASICS OF THE RENESAS SYNERGY PLATFORM

BASICS OF THE RENESAS SYNERGY PLATFORM BASICS OF THE RENESAS SYNERGY PLATFORM TM Richard Oed 2017.12 02 CHAPTER 5 WORKING WITH THE DEVELOPMENT ENVIRONMENTS FOR SYNERGY CONTENTS 5 WORKING WITH THE DEVELOPMENT ENVIRONMENTS FOR SYNERGY 03 5.1

More information

M16C/62P QSK QSK62P Plus Tutorial 1. Software Development Process using HEW4

M16C/62P QSK QSK62P Plus Tutorial 1. Software Development Process using HEW4 M16C/62P QSK QSK62P Plus Tutorial 1 Software Development Process using HEW4 Overview The following tutorial is a brief introduction on how to develop and debug programs using HEW4 (Highperformance Embedded

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

NEW CEIBO DEBUGGER. Menus and Commands

NEW CEIBO DEBUGGER. Menus and Commands NEW CEIBO DEBUGGER Menus and Commands Ceibo Debugger Menus and Commands D.1. Introduction CEIBO DEBUGGER is the latest software available from Ceibo and can be used with most of Ceibo emulators. You will

More information

Designing with Nios II Processor for Hardware Engineers

Designing with Nios II Processor for Hardware Engineers Designing with Nios II Processor for Hardware Engineers Course Description This course provides all theoretical and practical know-how to design ALTERA SoC FPGAs based on the Nios II soft processor under

More information

WS_CCESBF7-OUT-v1.00.doc Page 1 of 8

WS_CCESBF7-OUT-v1.00.doc Page 1 of 8 Course Name: Course Code: Course Description: System Development with CrossCore Embedded Studio (CCES) and the ADSP-BF70x Blackfin Processor Family WS_CCESBF7 This is a practical and interactive course

More information

Efficient use of Virtual Prototypes in HW/SW Development and Verification

Efficient use of Virtual Prototypes in HW/SW Development and Verification Efficient use of Virtual Prototypes in HW/SW Development and Verification Rocco Jonack, MINRES Technologies GmbH Eyck Jentzsch, MINRES Technologies GmbH Accellera Systems Initiative 1 Virtual prototype

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

WS_CCESSH5-OUT-v1.01.doc Page 1 of 7

WS_CCESSH5-OUT-v1.01.doc Page 1 of 7 Course Name: Course Code: Course Description: System Development with CrossCore Embedded Studio (CCES) and the ADI ADSP- SC5xx/215xx SHARC Processor Family WS_CCESSH5 This is a practical and interactive

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Imperas Guide to using Virtual Platforms. Platform / Module Specific Information for mips.ovpworld.org / BareMetalMipsSingle. Imperas Software Limited

Imperas Guide to using Virtual Platforms. Platform / Module Specific Information for mips.ovpworld.org / BareMetalMipsSingle. Imperas Software Limited Imperas Guide to using Virtual Platforms Platform / Module Specific Information for / BareMetalMipsSingle Imperas Software Limited Imperas Buildings, North Weston Thame, Oxfordshire, OX9 2HA, U.K. docs@imperas.com.

More information

SKP16C26 Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc.

SKP16C26 Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc. SKP16C26 Tutorial 1 Software Development Process using HEW Renesas Technology America Inc. 1 Overview The following tutorial is a brief introduction on how to develop and debug programs using HEW (Highperformance

More information

Renesas 78K/78K0R/RL78 Family In-Circuit Emulation

Renesas 78K/78K0R/RL78 Family In-Circuit Emulation _ Technical Notes V9.12.225 Renesas 78K/78K0R/RL78 Family In-Circuit Emulation This document is intended to be used together with the CPU reference manual provided by the silicon vendor. This document

More information

System Debug. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved

System Debug. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved System Debug This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Describe GNU Debugger (GDB) functionality Describe Xilinx

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

Development Tools. 8-Bit Development Tools. Development Tools. AVR Development Tools

Development Tools. 8-Bit Development Tools. Development Tools. AVR Development Tools Development Tools AVR Development Tools This section describes some of the development tools that are available for the 8-bit AVR family. Atmel AVR Assembler Atmel AVR Simulator IAR ANSI C-Compiler, Assembler,

More information

Quick Start Guide TWR-S08PT60. 5-Volt S08P Family of 8-bit MCUs for Industrial and Appliance Applications TOWER SYSTEM

Quick Start Guide TWR-S08PT60. 5-Volt S08P Family of 8-bit MCUs for Industrial and Appliance Applications TOWER SYSTEM TWR-S08PT60 5-Volt S08P Family of 8-bit MCUs for Industrial and Appliance Applications TOWER SYSTEM Get to Know the TWR-S08PT60 Primary Connector Force BDM Infrared Port Reset Switch Motor Control Daughter

More information

Rapidly Developing Embedded Systems Using Configurable Processors

Rapidly Developing Embedded Systems Using Configurable Processors Class 413 Rapidly Developing Embedded Systems Using Configurable Processors Steven Knapp (sknapp@triscend.com) (Booth 160) Triscend Corporation www.triscend.com Copyright 1998-99, Triscend Corporation.

More information

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Introduction: Modern FPGA s are equipped with a lot of resources that allow them to hold large digital

More information

PlanAhead Release Notes

PlanAhead Release Notes PlanAhead Release Notes What s New in the 11.1 Release UG656(v 11.1.0) April 27, 2009 PlanAhead 11.1 Release Notes Page 1 Table of Contents What s New in the PlanAhead 11.1 Release... 4 Device Support...

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

International Journal of Current Research and Modern Education (IJCRME) ISSN (Online): ( Volume I, Issue II, 2016

International Journal of Current Research and Modern Education (IJCRME) ISSN (Online): (  Volume I, Issue II, 2016 A CASE STUDY OF IMPLEMENTING A GDB INTERFACE BETWEEN AN ARM BASED IC SIMULATOR AND GNU DEBUGGER H. S. Sachin Kumar* & Trisila Devi Nagavi** Department of Computer Science & Engineering, Sri Jaya Chamarajendra

More information

IBM PowerPC Enablement Kit: ChipBench-SLD: System Level Analysis and Design Tool Suite. Power.org, September 2005

IBM PowerPC Enablement Kit: ChipBench-SLD: System Level Analysis and Design Tool Suite. Power.org, September 2005 Power.org, September 2005 IBM PowerPC Enablement Kit: ChipBench-SLD: System Level and Design Tool Suite PowerPC SystemC Models SLD Tools PowerPC, CoreConnect IP Dr. Nagu Dhanwada, Chief System Level Design

More information

Designing with ALTERA SoC

Designing with ALTERA SoC Designing with ALTERA SoC תיאורהקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילתכנוןרכיביSoC שלחברתALTERA תחתסביבת הפיתוחII.Quartus הקורסמשלב 60% תיאוריהו- 40% עבודה מעשית עללוחותפיתוח.SoC הקורסמתחילבסקירתמשפחותרכבי

More information

An Introduction to e 2 studio

An Introduction to e 2 studio An Introduction to e 2 studio Axel Wolf, Tools Marketing Manager Class ID: 3C15B Renesas Electronics America Inc. Axel Wolf Product Marketing for Development Tools REA Marketing Unit, MCU Products Based

More information

Booting a LEON system over SpaceWire RMAP. Application note Doc. No GRLIB-AN-0002 Issue 2.1

Booting a LEON system over SpaceWire RMAP. Application note Doc. No GRLIB-AN-0002 Issue 2.1 Template: GQMS-TPLT-1-1-0 Booting a LEON system over SpaceWire RMAP Application note 2017-05-23 Doc. No Issue 2.1 Date: 2017-05-23 Page: 2 of 11 CHANGE RECORD Issue Date Section / Page Description 1.0

More information

Visual Profiler. User Guide

Visual Profiler. User Guide Visual Profiler User Guide Version 3.0 Document No. 06-RM-1136 Revision: 4.B February 2008 Visual Profiler User Guide Table of contents Table of contents 1 Introduction................................................

More information

BASICS OF THE RENESAS SYNERGY PLATFORM

BASICS OF THE RENESAS SYNERGY PLATFORM BASICS OF THE RENESAS SYNERGY PLATFORM TM Richard Oed 2018.11 02 CHAPTER 11 EVENT ANALYSIS WITH TRACEX CONTENTS 11 EVENT ANALYSIS WITH TRACEX 03 11.1 An Introduction to TraceX 03 11.2 Built-in Views and

More information

Virtual Platform Software Simulation for Enhanced Multi-core Software Verification

Virtual Platform Software Simulation for Enhanced Multi-core Software Verification Virtual Platform Software Simulation for Enhanced Multi-core Software Verification Simon Davidmann Company: Imperas Software Ltd, 17 March 2014 Event: TVS Software Testing Location: UWE Conference Centre,

More information

QEMU for Xilinx ZynqMP. V Aug-20

QEMU for Xilinx ZynqMP. V Aug-20 QEMU for Xilinx ZynqMP Edgar E. Iglesias V2 2015-Aug-20 ZynqMP SoC New Chip (Zynq NG) Aggressive target for QEMU as early SW platform emulating WiP chip BootROMs, Boot-loaders,

More information

ARM s IP and OSCI TLM 2.0

ARM s IP and OSCI TLM 2.0 ARM s IP and OSCI TLM 2.0 Deploying Implementations of IP at the Programmer s View abstraction level via RealView System Generator ESL Marketing and Engineering System Design Division ARM Q108 1 Contents

More information

Keil uvision development story (Adapted from (Valvano, 2014a))

Keil uvision development story (Adapted from (Valvano, 2014a)) Introduction uvision has powerful tools for debugging and developing C and Assembly code. For debugging a code, one can either simulate it on the IDE s simulator or execute the code directly on ta Keil

More information

Codewarrior for ColdFire (Eclipse) 10.0 Setup

Codewarrior for ColdFire (Eclipse) 10.0 Setup Codewarrior for ColdFire (Eclipse) 10.0 Setup 1. Goal This document is designed to ensure that your Codewarrior for Coldfire v10.0 environment is correctly setup and to orient you to it basic functionality

More information

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly! This tutorial will show you how to: Part I: Set up a new project in ISE 14.7 Part II: Implement a function using Schematics Part III: Simulate the schematic circuit using ISim Part IV: Constraint, Synthesize,

More information

Virtual PLATFORMS for complex IP within system context

Virtual PLATFORMS for complex IP within system context Virtual PLATFORMS for complex IP within system context VP Modeling Engineer/Pre-Silicon Platform Acceleration Group (PPA) November, 12th, 2015 Rocco Jonack Legal Notice This presentation is for informational

More information

Falcon UniPro Stimulus Test Editor User Manual

Falcon UniPro Stimulus Test Editor User Manual Falcon UniPro Stimulus Test Editor User Manual Copyright Protocol Insight. All rights reserved. Licensed software products are owned by Protocol Insight or its suppliers, and are protected by national

More information

Release Notes for ADSP-CM41x EZ-Kit Lite Board Support Package For Keil MDK

Release Notes for ADSP-CM41x EZ-Kit Lite Board Support Package For Keil MDK Release Notes for ADSP-CM41x EZ-Kit Lite Board Support Package 1.0.0 For Keil MDK 2016 Analog Devices, Inc. http://www.analog.com processor.tools.support@analog.com Contents 1 Release Dependencies 4 2

More information

Embedded Linux kernel and driver development training 5-day session

Embedded Linux kernel and driver development training 5-day session Embedded Linux kernel and driver development training 5-day session Title Embedded Linux kernel and driver development training Overview Understanding the Linux kernel Developing Linux device drivers Linux

More information

Using Virtual Platforms To Improve Software Verification and Validation Efficiency

Using Virtual Platforms To Improve Software Verification and Validation Efficiency Using Virtual Platforms To Improve Software Verification and Validation Efficiency Odin Shen Staff FAE Arm Arm Tech Symposia Taiwan 2017 Software complexity and best practices Software Costs Increasing

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

_ V Intel 8085 Family In-Circuit Emulation. Contents. Technical Notes

_ V Intel 8085 Family In-Circuit Emulation. Contents. Technical Notes _ V9.12. 225 Technical Notes Intel 8085 Family In-Circuit Emulation This document is intended to be used together with the CPU reference manual provided by the silicon vendor. This document assumes knowledge

More information

You have a PC with a USB interface, running Microsoft Windows XP (SP2 or greater) or Vista You have the Workshop Installation Software Flash Drive

You have a PC with a USB interface, running Microsoft Windows XP (SP2 or greater) or Vista You have the Workshop Installation Software Flash Drive 03- COMPOSER STUDIO Stellaris Development and Evaluation Kits for Code Composer Studio The Stellaris Development and Evaluation Kits provide a low-cost way to start designing with Stellaris microcontrollers

More information

Introduction to Intel Boot Loader Development Kit (Intel BLDK) Intel SSG/SSD/UEFI

Introduction to Intel Boot Loader Development Kit (Intel BLDK) Intel SSG/SSD/UEFI Introduction to Intel Boot Loader Development Kit (Intel BLDK) Intel SSG/SSD/UEFI Legal Disclaimer INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS OR IMPLIED,

More information

Lab 0 Introduction to the MSP430F5529 Launchpad-based Lab Board and Code Composer Studio

Lab 0 Introduction to the MSP430F5529 Launchpad-based Lab Board and Code Composer Studio ECE2049 Embedded Computing in Engineering Design Lab 0 Introduction to the MSP430F5529 Launchpad-based Lab Board and Code Composer Studio In this lab, you will be introduced to the Code Composer Studio

More information

Xilinx Platform Studio tutorial

Xilinx Platform Studio tutorial Xilinx Platform Studio tutorial Per.Anderson@cs.lth.se April 12, 2005 This tutorial intend to show you how to create an initial system configuration. From Xilinx Platform Studio(XPS) version 6.1 this has

More information

Simulation Based Analysis and Debug of Heterogeneous Platforms

Simulation Based Analysis and Debug of Heterogeneous Platforms Simulation Based Analysis and Debug of Heterogeneous Platforms Design Automation Conference, Session 60 4 June 2014 Simon Davidmann, Imperas Page 1 Agenda Programming on heterogeneous platforms Hardware-based

More information

Advanced module: Video en/decoder on Virtex 5

Advanced module: Video en/decoder on Virtex 5 Advanced module: Video en/decoder on Virtex 5 Content 1. Advanced module: Video en/decoder on Virtex 5... 2 1.1. Introduction to the lab environment... 3 1.1.1. Remote control... 4 1.2. Getting started

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

_ V Renesas R8C In-Circuit Emulation. Contents. Technical Notes

_ V Renesas R8C In-Circuit Emulation. Contents. Technical Notes _ V9.12. 225 Technical Notes Renesas R8C In-Circuit Emulation This document is intended to be used together with the CPU reference manual provided by the silicon vendor. This document assumes knowledge

More information

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools The hardware modules and descriptions referred to in this document are *NOT SUPPORTED* by Texas Instruments

More information

SimXMD Co-Debugging Software and Hardware in FPGA Embedded Systems

SimXMD Co-Debugging Software and Hardware in FPGA Embedded Systems University of Toronto FPGA Seminar SimXMD Co-Debugging Software and Hardware in FPGA Embedded Systems Ruediger Willenberg and Paul Chow High-Performance Reconfigurable Computing Group University of Toronto

More information

Virtual Platforms for early Embedded Software Development

Virtual Platforms for early Embedded Software Development Virtual Platforms for early Embedded Software Development RISC-V 8 th Workshop Barcelona Wednesday May 09, 4:00pm Kevin McDermott & Lee Moore Imperas Software Hugh O Keeffe Ashling Page 1 New Markets With

More information

Parallel Simulation Accelerates Embedded Software Development, Debug and Test

Parallel Simulation Accelerates Embedded Software Development, Debug and Test Parallel Simulation Accelerates Embedded Software Development, Debug and Test Larry Lapides Imperas Software Ltd. larryl@imperas.com Page 1 Modern SoCs Have Many Concurrent Processing Elements SMP cores

More information

Eliminate Threading Errors to Improve Program Stability

Eliminate Threading Errors to Improve Program Stability Introduction This guide will illustrate how the thread checking capabilities in Intel Parallel Studio XE can be used to find crucial threading defects early in the development cycle. It provides detailed

More information

mbed Hello World! Introduction to mbed

mbed Hello World! Introduction to mbed mbed Hello World 1 Agenda Introduction to mbed Lab 1: mbed registration and Hello World demo Lab 2: Other IO Lab 3: Interfacing with sensors Lab 4: Output devices, a TextLCD Lab 5: Rapid prototyping, Build

More information

Virtual Hardware ECU How to Significantly Increase Your Testing Throughput!

Virtual Hardware ECU How to Significantly Increase Your Testing Throughput! Virtual Hardware ECU How to Significantly Increase Your Testing Throughput! Elektrobit Tech Day Jason Niatas Synopsys Inc. July 27, 2017 2017 Synopsys, Inc. 1 Agenda Automotive electronic evolution and

More information

Porting Linux to a new SoC

Porting Linux to a new SoC Porting Linux to a new SoC Who am I? PrasannaKumar Muralidharan Linux kernel enthusiast Contributed to a few open source projects Contributed several patches to hwrng subsystem Wrote CI20 PRNG driver Implemented

More information

S32 SDK for Power Architecture Release Notes Version EAR

S32 SDK for Power Architecture Release Notes Version EAR S32 SDK for Power Architecture Release Notes Version 0.8.0 EAR 2017 NXP Contents 1. DESCRIPTION...3 2. SOFTWARE CONTENTS...4 3. DOCUMENTATION...4 4. EXAMPLES...5 5. SUPPORTED HARDWARE AND COMPATIBLE SOFTWARE...6

More information

Code::Blocks Student Manual

Code::Blocks Student Manual Code::Blocks Student Manual Lawrence Goetz, Network Administrator Yedidyah Langsam, Professor and Theodore Raphan, Distinguished Professor Dept. of Computer and Information Science Brooklyn College of

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Processor Expert Software RAppID Suite Overview

Processor Expert Software RAppID Suite Overview Processor Expert Software RAppID Suite Overview FTF-AUT-F0074 Sudhakar Srinivasa Senior Software Engineer A P R. 2 0 1 4 TM External Use Session Introduction This one hour session covers: Overview of Processor

More information

SimXMD Simulation-based HW/SW Co-debugging for field-programmable Systems-on-Chip

SimXMD Simulation-based HW/SW Co-debugging for field-programmable Systems-on-Chip SimXMD Simulation-based HW/SW Co-debugging for field-programmable Systems-on-Chip Ruediger Willenberg and Paul Chow High-Performance Reconfigurable Computing Group University of Toronto September 4, 2013

More information

Trace Getting Started V8.02

Trace Getting Started V8.02 Trace Getting Started V8.02 1. Introduction This paper helps the user to entirely exploit the trace and troubleshoot most often situations that the developer is confronted with while debugging the application.

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

MPLAB SIM. MPLAB IDE Software Simulation Engine Microchip Technology Incorporated MPLAB SIM Software Simulation Engine

MPLAB SIM. MPLAB IDE Software Simulation Engine Microchip Technology Incorporated MPLAB SIM Software Simulation Engine MPLAB SIM MPLAB IDE Software Simulation Engine 2004 Microchip Technology Incorporated MPLAB SIM Software Simulation Engine Slide 1 Welcome to this web seminar on MPLAB SIM, the software simulator that

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Chapter 2. Operating-System Structures

Chapter 2. Operating-System Structures Chapter 2 Operating-System Structures 2.1 Chapter 2: Operating-System Structures Operating System Services User Operating System Interface System Calls Types of System Calls System Programs Operating System

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

Preparing Virtual Machines for Cisco APIC-EM

Preparing Virtual Machines for Cisco APIC-EM Preparing a VMware System for Cisco APIC-EM Deployment, page 1 Virtual Machine Configuration Recommendations, page 1 Configuring Resource Pools Using vsphere Web Client, page 4 Configuring a Virtual Machine

More information

Preparing Virtual Machines for Cisco APIC-EM

Preparing Virtual Machines for Cisco APIC-EM Preparing a VMware System for Cisco APIC-EM Deployment, on page 1 Virtual Machine Configuration Recommendations, on page 1 Configuring Resource Pools Using vsphere Web Client, on page 4 Configuring a Virtual

More information

USB Debug Adapter. Power USB DEBUG ADAPTER. Silicon Laboratories. Stop. Run. Figure 1. Hardware Setup using a USB Debug Adapter

USB Debug Adapter. Power USB DEBUG ADAPTER. Silicon Laboratories. Stop. Run. Figure 1. Hardware Setup using a USB Debug Adapter C8051F38X DEVELOPMENT KIT USER S GUIDE 1. Kit Contents The C8051F38x Development Kit contains the following items: C8051F380 Target Board C8051Fxxx Development Kit Quick-start Guide Silicon Laboratories

More information

TRACE32. Product Overview

TRACE32. Product Overview TRACE32 Product Overview Preprocessor Product Portfolio Lauterbach is the world s leading manufacturer of complete, modular microprocessor development tools with 35 years experience in the field of embedded

More information

Zend Studio 3.0. Quick Start Guide

Zend Studio 3.0. Quick Start Guide Zend Studio 3.0 This walks you through the Zend Studio 3.0 major features, helping you to get a general knowledge on the most important capabilities of the application. A more complete Information Center

More information

Integrated Software Environment. Part 2

Integrated Software Environment. Part 2 Integrated Software Environment Part 2 Operating Systems An operating system is the most important software that runs on a computer. It manages the computer's memory, processes, and all of its software

More information

Chapter 2: Operating-System Structures

Chapter 2: Operating-System Structures Chapter 2: Operating-System Structures Chapter 2: Operating-System Structures Operating System Services User Operating System Interface System Calls Types of System Calls System Programs Operating System

More information

CHAPTER 2: SYSTEM STRUCTURES. By I-Chen Lin Textbook: Operating System Concepts 9th Ed.

CHAPTER 2: SYSTEM STRUCTURES. By I-Chen Lin Textbook: Operating System Concepts 9th Ed. CHAPTER 2: SYSTEM STRUCTURES By I-Chen Lin Textbook: Operating System Concepts 9th Ed. Chapter 2: System Structures Operating System Services User Operating System Interface System Calls Types of System

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

DOMAIN TECHNOLOGIES INC. Users Guide Version 2.0 SB-USB2. Emulator

DOMAIN TECHNOLOGIES INC. Users Guide Version 2.0 SB-USB2. Emulator INC. Users Guide Version 2.0 SB-USB2 Emulator Table of Contents 1 INTRODUCTION... 3 1.1 Features... 3 1.2 Package Contents... 4 1.3 Related Components... 4 2 INSTALLATION... 4 3 INTEGRATION WITH LSI LOGIC

More information

CHAPTER 4 MARIE: An Introduction to a Simple Computer

CHAPTER 4 MARIE: An Introduction to a Simple Computer CHAPTER 4 MARIE: An Introduction to a Simple Computer 4.1 Introduction 177 4.2 CPU Basics and Organization 177 4.2.1 The Registers 178 4.2.2 The ALU 179 4.2.3 The Control Unit 179 4.3 The Bus 179 4.4 Clocks

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Chapter 2: Operating-System Structures. Operating System Concepts 9 th Edit9on

Chapter 2: Operating-System Structures. Operating System Concepts 9 th Edit9on Chapter 2: Operating-System Structures Operating System Concepts 9 th Edit9on Silberschatz, Galvin and Gagne 2013 Objectives To describe the services an operating system provides to users, processes, and

More information

SQL Server. Management Studio. Chapter 3. In This Chapter. Management Studio. c Introduction to SQL Server

SQL Server. Management Studio. Chapter 3. In This Chapter. Management Studio. c Introduction to SQL Server Chapter 3 SQL Server Management Studio In This Chapter c Introduction to SQL Server Management Studio c Using SQL Server Management Studio with the Database Engine c Authoring Activities Using SQL Server

More information

Red Suite 4 Getting Started. Applies to Red Suite 4.22 or greater

Red Suite 4 Getting Started. Applies to Red Suite 4.22 or greater Red Suite 4 Getting Started Applies to Red Suite 4.22 or greater March 26, 2012 Table of Contents 1 ABOUT THIS GUIDE... 3 1.1 WHO SHOULD USE IT... 3 2 RED SUITE 4... 4 2.1 NEW FEATURES IN RED SUITE 4...

More information

PLX USB Development Kit

PLX USB Development Kit 870 Maude Avenue Sunnyvale, California 94085 Tel (408) 774-9060 Fax (408) 774-2169 E-mail: www.plxtech.com/contacts Internet: www.plxtech.com/netchip PLX USB Development Kit PLX Technology s USB development

More information

CHAPTER 3 LabVIEW REAL TIME APPLICATION DEVELOPMENT REFERENCES: [1] NI, Real Time LabVIEW. [2] R. Bishop, LabVIEW 2009.

CHAPTER 3 LabVIEW REAL TIME APPLICATION DEVELOPMENT REFERENCES: [1] NI, Real Time LabVIEW. [2] R. Bishop, LabVIEW 2009. CHAPTER 3 By Radu Muresan University of Guelph Page 1 ENGG4420 CHAPTER 3 LECTURE 1 October 31 10 5:12 PM CHAPTER 3 LabVIEW REAL TIME APPLICATION DEVELOPMENT REFERENCES: [1] NI, Real Time LabVIEW. [2] R.

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information