EECS 373 Midterm Winter 2013

Size: px
Start display at page:

Download "EECS 373 Midterm Winter 2013"

Transcription

1 EECS 373 Midterm Winter 2013 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Page Points 2 /15 3 /20 4 /12 5 /13 8 /10 9 /15 10 /15 Total /100 NOTES: 1. Closed book/notes. 2. There are 10 pages including this one. 3. Calculators are allowed, but no PDAs, Portables, Cell phones, etc. 4. Don t spend too much time on any one problem. 5. You have about 80 minutes for the exam. 6. Be sure to show work and explain what you ve done when asked to do so. Getting partial credit without showing work will be rare. 7. Throughout the exam standard logic gates means arbitrary input ANDs, ORs, NANDs, NORs, XORs and XNORs as well as NOT gates. Page 1 of 10

2 1. Fill-in-the-blank or circle the best answer. [15 points, -3 per wrong or blank answer, minimum 0] a) A 10 MHz clock with a duty cycle of 40% is high for ns per cycle. b) When an ISR A can interrupt another (running) ISR B, A is said to be able to preempt / override / nest / remove B. c) Set-up and/or hold time matter in embedded systems primarily because: the hold time impacts the processor s clock period. the set-up time impacts the processor s ability to use timers. inputs not synchronized to our local clock run the risk of violating them. they have a significant impact on interrupt latency. d) One interesting feature of our ISA is that: R11 is used as a dedicated frame pointer there is no way to use an immediate with more than 4 bits many instruction encodings can only use registers R0-R7. e) In the following text, the blank should be replaced with unified / global / V6T2 / function. Two slightly different syntaxes are supported for ARM and THUMB instructions. The default, divided, uses the old style where ARM and THUMB instructions had their own, separate syntaxes. The new, syntax, which can be selected via the.syntax directive, and has the following main features: Immediate operands do not require a # prefix. The IT instruction may appear, and if it does it is validated against subsequent conditional affixes. In ARM mode it does not generate machine code, in THUMB mode it does. For ARM instructions the conditional affixes always appear at the end of the instruction. For THUMB instructions conditional affixes can be used, but only inside the scope of an IT instruction. f) Say we were using an APB bus that had 20 bits for the address and 32 bits for the data. If the bus were running at 10MHz, the most bandwidth you could expect to get over the bus would be about 10 / 20 / 32 / 40 / 64 Mbytes/sec. Page 2 of 10

3 2. Write an ARM assembly language procedure that implements the following C function in an EABIcompliant manner and conforms to the following signature. Clearly comment your code so we can figure out what you are doing and what value each register holds. Poorly commented/unclear code will get points removed. [20 points] uint32 XYbob(uint32 x, uint32 y, uint32 bob[]) { uint32 mary[10]; } fill(mary,x,y); // function moves data into the array mary if(bob[x]>=mary[y]) return(x); else return(y); Page 3 of 10

4 3. Consider the ARM assembly found below. Assume that r3=0x88aaccdd, r1=0x , and all other registers and memory locations are initialized to zero. You should assume the processor is in little-endian mode. str r3, [r1],1 ldrsh r5, [r1,1]! orr r5, r5, 0xF strh r3, [r1,-1] ldr r3, [r1] What are the values of these registers? You must write your answers as 8-digit hex numbers if you wish to receive credit! [12 points, 4 each, no partial credit] r1= r3= r5= Page 4 of 10

5 4. Briefly explain what the volatile keyword in C does and why it is necessary, i.e. what could happen if you forget to use it? [8 points] 5. Briefly explain why having a capture option on a timer is useful. [5 points] Page 5 of 10

6 Anemometer design Your task is to design the measurement system for a hand-held anemometer. The device measures wind speed with a traditional rotating cup system. Wind speed is determined by measuring the rotation period, scaling and displaying accordingly. You will likely find it helpful to read the rest of the exam before solving any of the following parts. To make the measurement, you have at your disposal a simplified Smart Fusion kit and a hardware timer. Interface to the APB bus The kit has the following APB3 bus interface. The signal names are shown in bold. The ABP3 bus signals follow APB3 timing and protocol. Read and write cycles are provided on the next page. PSEL is configured to be 1 when memory locations 0x x are accessed. SmartFusion Level Sensitive Interrupt: INT APB Write Data: PWDATA (32 bits) APB Read Data: PRDATA (32 bits) APB Peripheral Write: PWRITE Peripheral Address: PADDR (8 bits) Peripheral Select: PSEL Bus Clock: PCLK Bus Ready: PREADY As shown, this system has a single interrupt that can be generated. It is level-sensitive and is named INT. Page 6 of 10

7 Hardware Timer The hardware timer will become the value on TDI if TWE is high on the positive edge of the timer clock. Otherwise the counter will increment every cycle. If the counter reaches its maximum value it wraps around to zero (i.e. it is a modulo counter). The current value of the timer is always available on TDO. Timer Timer Data In: TDI (32 bits) Timer Data Out: TDO (32 bits) Timer Write Enable: TWE Timer Clock: TC APB Timing diagram The following diagrams are provided as a reminder of the APB timing with no wait states. ABP3 Read Timing ABP3 Write Timing Page 7 of 10

8 Part 1: Hardware Timer APB3 Bus Interface (10 points) Provide a hardware interface between the SmartFusion APB3 bus and the hardware timer to allow the SmartFusion to read and write the counter. Assume the timer s counter register is at address 0x (and only that address). You may use standard gates such as ANDs, ORs, NOTs (as well as standard bubbles). Be sure to show all connections. You may use GND and VCC to indicate a logical 0 and 1 respectively. You may not use Boolean or Verilog expressions. You will lose points for having unneeded logic. Note: we have put all the APB connections on the left and all the Timer connections on the right. This means inputs and outputs are intermixed, be sure you are driving all outputs! [15 points] PWDATA[31:0] TDI[31:0] PRDATA[31:0] TDO[31:0] PWRITE PENABLE TWE PSEL PADDR[7:0] PCLK TC PREADY Page 8 of 10

9 Part 2: Anemometer Interrupt Hardware Interface (15 points) The anemometer provides a high pulse (signal name PULSE) that is several clock (PCLK) cycles wide and is not synchronized to the APB clock. We wish to use this signal to generate an interrupt and then use the counter to time the period of each anemometer rotation. The only interrupt line available on your processor is level sensitive and is active high. This means it will interrupt as long as it remains high. Design logic using standard gates and D flip-flops that will provide the level-sensitive interrupt when PULSE goes high. Recall you have to hold a level-sensitive interrupt true until it is cleared by the interrupt service return. o One D flip-flop is provided, though you may use additional ones as needed. Also, provide logic that will clear the interrupt when a write to memory address 0x (and only that address) occurs. PULSE PCLK PWDATA[31:0] D flip-flop D Q C Reset INT PWRITE PENABLE PADDR[7:0] PSEL Page 9 of 10

10 Part 3: Interrupt Service Routine (15 points) Write an interrupt service routine in C called anemometer_interupt that will calculate and display the wind speed by reading the time of a rotation, scaling and then displaying the result using your hardware designed above. Assume that you have a function called scale that will convert the time of rotation to KPH. Scale accepts time per rotation in microseconds. Assume that you have another function called display that accepts KPH and provides display. It is acceptable if the first speed value is incorrect. All subsequent values should be correct. Assume the APB bus runs at 1 MHz. Function Prototypes: (void) display (int kph) (int kph)scale (int ms) Providing comments may improve your chance for partial credit. Page 10 of 10

EECS 373 Fall 2018 Homework #3

EECS 373 Fall 2018 Homework #3 EECS 373 Fall 2018 Homework #3 Answers 1) Loaders, Linkers and Executables a) In straightforward English, explain the role of a linker. [7 points] A linker receives object files as input and must emit

More information

EECS 373 Midterm Winter 2012

EECS 373 Midterm Winter 2012 EECS 373 Midterm Winter 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

EECS 373 Midterm Winter 2016

EECS 373 Midterm Winter 2016 EECS 373 Midterm Winter 2016 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

EECS 373 Practice Midterm / Homework #3 Fall 2014

EECS 373 Practice Midterm / Homework #3 Fall 2014 Exam #: EECS 373 Practice Midterm / Homework #3 Fall 2014 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

EECS 373 Midterm 2 Fall 2018

EECS 373 Midterm 2 Fall 2018 EECS 373 Midterm 2 Fall 2018 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

EECS 373 Practice Midterm & Homework #2 Fall 2011

EECS 373 Practice Midterm & Homework #2 Fall 2011 Exam #: EECS 373 Practice Midterm & Homework #2 Fall 2011 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

EECS 373 Midterm Winter 2017

EECS 373 Midterm Winter 2017 EECS 373 Midterm Winter 2017 Name: unique name: Sign the following honor code pledge. I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem Points 1 /12

More information

EECS 373 Midterm 2 Exam Winter 2018

EECS 373 Midterm 2 Exam Winter 2018 EECS 373 Midterm 2 Exam Winter 2018 Name: SOLUTION unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem # Points 1 /15

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Lecture 5: Memory-mapped I/O review, APB, start interrupts. Mostly APB though Sept. 19 th 2018 1 Today Memory-mapped I/O

More information

esi-multichannel Timer

esi-multichannel Timer 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 Version 2.2 - Confidential 2 of 6 2010 EnSilica Ltd, All Rights Reserved 2 Overview

More information

EECS 373, Homework 4, Fall 2018 Assigned: Wednesday 10/3; Due: Wednesday 10/10 at 10pm

EECS 373, Homework 4, Fall 2018 Assigned: Wednesday 10/3; Due: Wednesday 10/10 at 10pm EECS 373, Homework 4, Fall 2018 Assigned: Wednesday 10/3; Due: Wednesday 10/10 at 10pm 1. Read https://blog.feabhas.com/2013/01/weak-linkage-in-c-programming/ [4 points] a. Define the term weak linkage.

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 5: Memory-mapped I/O review, APB, Mostly APB though J January 18 th 2018 1 Administra,ve Midterm Exam: Monday,

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 4: Bit of assembly, Memory-mapped I/O, APB January 16, 2018 1 Admin HW2 Due Thursday. HW1 answers posted as

More information

EECS 373 Lab 3: Introduction to Memory Mapped I/O

EECS 373 Lab 3: Introduction to Memory Mapped I/O EECS 373 Lab 3: Introduction to Memory Mapped I/O In this lab we will learn: To develop custom peripheral hardware in the SmartFusion FPGA using the Libero CAD tools. The fundamentals of memory-mapped

More information

EECS 470 Midterm Exam

EECS 470 Midterm Exam EECS 470 Midterm Exam Winter 2014 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: # Points Page 2 /12 Page 3

More information

EECS 270 Midterm Exam

EECS 270 Midterm Exam EECS 270 Midterm Exam Fall 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: Problem # Points 1 /11 2 /4

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Branden Ghena University of Michigan Lecture 4: Memory-Mapped I/O, Bus Architectures September 11, 2014 Slides developed in part by Mark Brehob & Prabal

More information

EECS 470 Midterm Exam Answer Key Fall 2004

EECS 470 Midterm Exam Answer Key Fall 2004 EECS 470 Midterm Exam Answer Key Fall 2004 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Part I /23 Part

More information

EECS 470 Midterm Exam Winter 2015

EECS 470 Midterm Exam Winter 2015 EECS 470 Midterm Exam Winter 2015 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page # Points 2 /20 3 /15 4 /9 5

More information

EECS 470 Midterm Exam Fall 2006

EECS 470 Midterm Exam Fall 2006 EECS 40 Midterm Exam Fall 2 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Page 2 /18 Page 3 /13 Page 4 /15

More information

EECS 470 Final Exam Fall 2005

EECS 470 Final Exam Fall 2005 EECS 470 Final Exam Fall 2005 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Section 1 /30 Section 2 /30

More information

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week?

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week? Announcements EECS 373 Homework #2 Where was I last week? Design of Microprocessor-Based Systems VLCS 14 MobiCom 14 HotWireless 14 Prabal Dutta University of Michigan Lecture 5: Memory and Peripheral Busses

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Resource requirements 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 7 5 RSA Operation 8 5.1 Introduction 8 5.2 Cycle counts

More information

EECS 470 Midterm Exam Winter 2009

EECS 470 Midterm Exam Winter 2009 EECS 70 Midterm Exam Winter 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points 1 / 18 2 / 12 3 / 29 / 21

More information

May the Schwartz be with you!

May the Schwartz be with you! Department of Electrical & Computer Engineering Tuesday 27 June 17 29-Sep-17 3:54 PM Page 1/13 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front

More information

A VHDL 8254 Timer core

A VHDL 8254 Timer core An www.opencores.org Project hlefevre@opencores.org Revision History Revision Date Author Description 0.1 3 Aug 2008 H LeFevre Initial Release of source files 0.5 4 Aug 2008 H LeFevre Add info about Timer

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents esi-apb-aes 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Area 5 4 Software Interface 6 4.1 Register Map 6 5 AES Operation 11 5.1 Introduction 11 5.2 DMA operation 12 5.3 CBC operation

More information

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 5 Revision History 8 Version 2.3.2 - Confidential 2 of 8 2011 EnSilica Ltd, All Rights

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 56/SHA224 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Area 4 4 Software Interface 5 4.1 Register Map 5 5 SHA256/SHA224 Operation 8 5.1 Introduction 8 5.2 Cycle counts 8 6 Revision History

More information

The University of Michigan - Department of EECS EECS 370 Introduction to Computer Architecture Midterm Exam 1 February 17, 2011

The University of Michigan - Department of EECS EECS 370 Introduction to Computer Architecture Midterm Exam 1 February 17, 2011 The University of Michigan - Department of EECS EECS 370 Introduction to Computer Architecture Midterm Exam 1 February 17, 2011 Name: KEY_(Answers in red) University of Michigan uniqname: (NOT your student

More information

CoreAHBtoAPB3 v3.1. Handbook

CoreAHBtoAPB3 v3.1. Handbook CoreAHBtoAPB3 v3.1 Handbook CoreAHBtoAPB3 v3.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported Microsemi FPGA Families... 3 Core Version... 4 Supported Interfaces...

More information

EECS 452 Midterm Closed book part Fall 2010

EECS 452 Midterm Closed book part Fall 2010 EECS 452 Midterm Closed book part Fall 2010 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Closed book Page

More information

Architectural design proposal for real time clock for wireless microcontroller unit

Architectural design proposal for real time clock for wireless microcontroller unit Architectural design proposal for real time clock for wireless microcontroller unit Muhammad Nor Azwan Mohd Alias 1, *, and Shaiful Nizam Mohyar 1 1 School of Microelectronic Engineering, University Malaysia

More information

EECS 470 Final Exam Winter 2012

EECS 470 Final Exam Winter 2012 EECS 470 Final Exam Winter 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Page 2 /11 Page 3 /13 Page

More information

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved 1 APB4 GPIO Datasheet 2 Introduction The APB4 GPIO Core is fully parameterised core designed to provide a userdefined number of general purpose, bidirectional IO to a design. The IO are accessible via

More information

ENGR 100 Midterm (CSE Part) Winter 2014

ENGR 100 Midterm (CSE Part) Winter 2014 ENGR 100 Midterm (CSE Part) Winter 2014 There are two parts in this midterm. This part focuses on the CSE aspects of the course. You will have 80 minutes to work on the exam. We recommend you spend about

More information

EECS 470 Final Exam Fall 2015

EECS 470 Final Exam Fall 2015 EECS 470 Final Exam Fall 2015 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page # Points 2 /17 3 /11 4 /13 5 /10

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Prabal Dutta University of Michigan Lecture 6: AHB-Lite, Interrupts (1) September 18, 2014 Slides"developed"in"part"by"Mark"Brehob" 1" Today" Announcements"

More information

EECS 470 Midterm Exam Winter 2008 answers

EECS 470 Midterm Exam Winter 2008 answers EECS 470 Midterm Exam Winter 2008 answers Name: KEY unique name: KEY Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: #Page Points 2 /10

More information

1. Truthiness /8. 2. Branch prediction /5. 3. Choices, choices /6. 5. Pipeline diagrams / Multi-cycle datapath performance /11

1. Truthiness /8. 2. Branch prediction /5. 3. Choices, choices /6. 5. Pipeline diagrams / Multi-cycle datapath performance /11 The University of Michigan - Department of EECS EECS 370 Introduction to Computer Architecture Midterm Exam 2 ANSWER KEY November 23 rd, 2010 Name: University of Michigan uniqname: (NOT your student ID

More information

EECS 470 Midterm Exam

EECS 470 Midterm Exam EECS 470 Midterm Exam Fall 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: # Points Page 2 /18 Page 3 /15

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

University of Toronto Mississauga. Flip to the back cover and write down your name and student number.

University of Toronto Mississauga. Flip to the back cover and write down your name and student number. University of Toronto Mississauga Midterm Test Course: CSC258H5 Winter 2016 Instructor: Larry Zhang Duration: 50 minutes Aids allowed: None Last Name: Given Name: Flip to the back cover and write down

More information

Signature: 1. (10 points) Basic Microcontroller Concepts

Signature: 1. (10 points) Basic Microcontroller Concepts EE 109 Practice Final Exam Last name: First name: Signature: The practice final is one hour, ten minutes long, closed book, closed notes, calculators allowed. To receive full credit on a question show

More information

ECE 362 Lab Verification / Evaluation Form Experiment 5

ECE 362 Lab Verification / Evaluation Form Experiment 5 ECE 362 Lab Verification / Evaluation Form Experiment 5 Evaluation: IMPORTANT! You must complete this experiment during your scheduled lab perior. All work for this experiment must be demonstrated and

More information

NCSU - ECE 306- Exam 2 March 27, 2003

NCSU - ECE 306- Exam 2 March 27, 2003 NCSU - ECE 306- Exam 2 March 27, 2003 Name: User ID Question 1-15 16-22 23-Algo 23-code Total Score /45 /60 /15 /30 /150 You are permitted 75 minutes to take this test, no more. This is an open book/open

More information

A User s Experience with SystemVerilog

A User s Experience with SystemVerilog A User s Experience with SystemVerilog and Doulos Ltd Ringwood, U.K. BH24 1AW jonathan.bromley@doulos.com michael.smith@doulos.com 2 Objectives Practical use of SystemVerilog Synopsys tools (VCS, Design

More information

EECS 470 Midterm Exam Fall 2014

EECS 470 Midterm Exam Fall 2014 EECS 470 Midterm Exam Fall 2014 Name: uniqname: Rewrite and sign the honor code below: I have neither given nor received aid on this exam nor observed anyone else doing so. Signature: Scores: Page # Points

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 7 Design of Microprocessor-Based Systems Website: www.eecs.umich.edu/courses/eecs7/ Addressing: Big Endian vs Little Endian (7 slide) Endian-ness: ordering of bytes within a word Little - increasing

More information

Final Exam. Date: May 12, 2017

Final Exam. Date: May 12, 2017 Final Exam Date: May 12, 2017 UT EID: Printed Name: Last, First Your signature is your promise that you have not cheated and will not cheat on this exam, nor will you help others to cheat on this exam:

More information

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp Design of High Speed AMBA APB Master Slave Burst Data Transfer for ARM Microcontroller Kottu Veeranna Babu 1, B. Naveen Kumar 2, B.V.Reddy 3 1 M.Tech Embedded Systems Student, Vikas College of Engineering

More information

Roa Logic. APB4 Multiplexer. Datasheet. October, c Roa Logic B.V.

Roa Logic. APB4 Multiplexer. Datasheet.   October, c Roa Logic B.V. Roa Logic Silicon Proven IP for FPGA and ASIC www.roalogic.com APB4 Multiplexer Datasheet http://roalogic.github.io/plic October, 2017 c Roa Logic B.V. Contents 1 Introduction 1 1.1 Features......................................

More information

University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM

University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM Page 1/15 Exam 2 Instructions: Turn off cell phones beepers and other noise making devices. BEAT UCONN! Show all work on the front of the test papers. If you need more room make a clearly indicated note

More information

CS303 LOGIC DESIGN FINAL EXAM

CS303 LOGIC DESIGN FINAL EXAM JANUARY 2017. CS303 LOGIC DESIGN FINAL EXAM STUDENT NAME & ID: DATE: Instructions: Examination time: 100 min. Write your name and student number in the space provided above. This examination is closed

More information

Final Exam Solution Sunday, December 15, 10:05-12:05 PM

Final Exam Solution Sunday, December 15, 10:05-12:05 PM Last (family) name: First (given) name: Student I.D. #: Circle section: Kim Hu Department of Electrical and Computer Engineering University of Wisconsin - Madison ECE/CS 352 Digital System Fundamentals

More information

Interrupts (I) Lecturer: Sri Notes by Annie Guo. Week8 1

Interrupts (I) Lecturer: Sri Notes by Annie Guo. Week8 1 Interrupts (I) Lecturer: Sri Notes by Annie Guo Week8 1 Lecture overview Introduction to Interrupts Interrupt system specifications Multiple Sources of Interrupts Interrupt Priorities Interrupts in AVR

More information

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function. 1.b. Show that a 2-to-1 MUX is universal (i.e. that any Boolean expression can be implemented with

More information

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013 EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013 Print Here Student ID Signature This is a closed book exam. The exam is to be completed in one-hundred ten (110) minutes. Don t use scratch

More information

One and a half hours. Section A is COMPULSORY UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

One and a half hours. Section A is COMPULSORY UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE One and a half hours Section A is COMPULSORY UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE Fundamentals of Computer Engineering Date: Thursday 21st January 2016 Time: 14:00-15:30 Answer BOTH Questions

More information

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM Page 1/11 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

CMPSCI 201 Fall 2004 Midterm #2 Answers

CMPSCI 201 Fall 2004 Midterm #2 Answers CMPSCI 201 Fall 2004 Midterm #2 Answers Professor William T. Verts 15 Points You should be quite familiar by now with the single-precision floating point numeric format (one 32-bit word containing

More information

Lab Overview. Lab Details. ECEN 4613/5613 Embedded System Design Week #7 Spring 2005 Lab #4 2/23/2005

Lab Overview. Lab Details. ECEN 4613/5613 Embedded System Design Week #7 Spring 2005 Lab #4 2/23/2005 ECEN 4613/5613 Embedded System Design Week #7 Spring 2005 Lab #4 2/23/2005 Lab Overview In this lab assignment, you will do the following: Add a serial EEPROM and an LCD to the hardware developed in Labs

More information

Major and Minor States

Major and Minor States Major and Minor States We now consider the micro operations and control signals associated with the execution of each instruction in the ISA. The execution of each instruction is divided into three phases.

More information

EECS 452 Midterm Closed book part Fall 2010

EECS 452 Midterm Closed book part Fall 2010 EECS 452 Midterm Closed book part Fall 2010 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Closed book Page

More information

problem maximum score 1 8pts 2 6pts 3 10pts 4 15pts 5 12pts 6 10pts 7 24pts 8 16pts 9 19pts Total 120pts

problem maximum score 1 8pts 2 6pts 3 10pts 4 15pts 5 12pts 6 10pts 7 24pts 8 16pts 9 19pts Total 120pts University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2010 3/31/09 Name: ID number: Midterm Exam This is a closed-book,

More information

Engineering 100 Midterm Exam Technical Part Fall 2010

Engineering 100 Midterm Exam Technical Part Fall 2010 Engineering 100 Midterm Exam Technical Part Fall 2010 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page # Points

More information

EECS 473 Midterm Exam

EECS 473 Midterm Exam EECS 473 Midterm Exam Fall 2016 Name: KEY unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. Closed book and Closed notes

More information

Exam 1. Date: February 23, 2018

Exam 1. Date: February 23, 2018 Exam 1 Date: February 23, 2018 UT EID: Printed Name: Last, First Your signature is your promise that you have not cheated and will not cheat on this exam, nor will you help others to cheat on this exam:

More information

Good Evening! Welcome!

Good Evening! Welcome! University of Florida EEL 3701 Fall 2011 Dr Eric M Schwartz Page 1/11 Exam 2 Instructions: Turn off all cell phones, beepers and other noise making devices Show all work on the front of the test papers

More information

Final Examination. Winter Problem Points Score. Total 180

Final Examination. Winter Problem Points Score. Total 180 CS243 Winter 2002-2003 You have 3 hours to work on this exam. The examination has 180 points. Please budget your time accordingly. Write your answers in the space provided on the exam. If you use additional

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Branden Ghena University of Michigan Today Memory-Mapped I/O Example Bus with Memory-Mapped I/O Bus Architectures AMBA APB Lecture 4: Memory-Mapped I/O,

More information

Microprocessors & Interfacing

Microprocessors & Interfacing Lecture Overview Microprocessors & Interfacing Interrupts (I) Lecturer : Dr. Annie Guo Introduction to Interrupts Interrupt system specifications Multiple sources of interrupts Interrupt priorities Interrupts

More information

EECS 470 Final Exam Fall 2013

EECS 470 Final Exam Fall 2013 EECS 470 Final Exam Fall 2013 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page# Points 2 /21 3 /8 4 /12 5 /10 6

More information

Input Output (IO) Management

Input Output (IO) Management Input Output (IO) Management Prof. P.C.P. Bhatt P.C.P Bhatt OS/M5/V1/2004 1 Introduction Humans interact with machines by providing information through IO devices. Manyon-line services are availed through

More information

Interrupts L33-1. Interrupts

Interrupts L33-1. Interrupts L33-1 Interrupts Interrupts Interrupts are like receiving a telephone call while you are in a face-to-face meeting: The phone rings (ie, an interrupt is sent) Tell the person you are meeting with to please

More information

First Name: Last Name: PID: CSE 140L Exam. Prof. Tajana Simunic Rosing. Winter 2010

First Name: Last Name: PID: CSE 140L Exam. Prof. Tajana Simunic Rosing. Winter 2010 CSE 140L Exam Prof. Tajana Simunic Rosing Winter 2010 Do not start the exam until you are told to. Turn off any cell phones or pagers. Write your name and PID at the top of every page. Do not separate

More information

University of Florida EEL 4744 Summer 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 July Oct-14 6:41 PM

University of Florida EEL 4744 Summer 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 July Oct-14 6:41 PM Page 1/14 Exam 1 Instructions: First Name Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note

More information

CS 151 Final. Q1 Q2 Q3 Q4 Q5 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

CS 151 Final. Q1 Q2 Q3 Q4 Q5 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature CS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 15 pages including this cover. 2. Write down your Student-Id on the top of

More information

(the bubble footer is automatically inserted in this space)

(the bubble footer is automatically inserted in this space) Page 1 of 8 Name: Email ID: CS 2150 Exam 1 You MUST write your name and e-mail ID on EACH page and bubble in your userid at the bottom of EACH page including this page. If you are still writing when pens

More information

Exam 1. EE319K Spring 2013 Exam 1 (Practice 1) Page 1. Date: February 21, 2013; 9:30-10:45am. Printed Name:

Exam 1. EE319K Spring 2013 Exam 1 (Practice 1) Page 1. Date: February 21, 2013; 9:30-10:45am. Printed Name: EE319K Spring 2013 Exam 1 (Practice 1) Page 1 Exam 1 Date: February 21, 2013; 9:30-10:45am Printed Name: Last, First Your signature is your promise that you have not cheated and will not cheat on this

More information

Name: University of Michigan uniqname: (NOT your student ID number!)

Name: University of Michigan uniqname: (NOT your student ID number!) The University of Michigan - Department of EECS EECS370 Introduction to Computer Organization Midterm Exam 1 October 22, 2009 Name: University of Michigan uniqname: (NOT your student ID number!) Open book,

More information

EEL 4744C: Microprocessor Applications. Lecture 7. Part 1. Interrupt. Dr. Tao Li 1

EEL 4744C: Microprocessor Applications. Lecture 7. Part 1. Interrupt. Dr. Tao Li 1 EEL 4744C: Microprocessor Applications Lecture 7 Part 1 Interrupt Dr. Tao Li 1 M&M: Chapter 8 Or Reading Assignment Software and Hardware Engineering (new version): Chapter 12 Dr. Tao Li 2 Interrupt An

More information

Reading Assignment. Interrupt. Interrupt. Interrupt. EEL 4744C: Microprocessor Applications. Lecture 7. Part 1

Reading Assignment. Interrupt. Interrupt. Interrupt. EEL 4744C: Microprocessor Applications. Lecture 7. Part 1 Reading Assignment EEL 4744C: Microprocessor Applications Lecture 7 M&M: Chapter 8 Or Software and Hardware Engineering (new version): Chapter 12 Part 1 Interrupt Dr. Tao Li 1 Dr. Tao Li 2 Interrupt An

More information

Universität Dortmund. ARM Cortex-M3 Buses

Universität Dortmund. ARM Cortex-M3 Buses ARM Cortex-M3 Buses Modulo 2 No change in class organization Thursday aftenoon (17-19) Lectures (Rossi) Aprile Giugno (Mod 2) room 1.3 Friday afternoon (14-18) (Benatti): LAB2 Content natural prosecution

More information

Midterm Exam 2B Answer key

Midterm Exam 2B Answer key Midterm Exam 2B Answer key 15110 Principles of Computing Fall 2015 April 6, 2015 Name: Andrew ID: Lab section: Instructions Answer each question neatly in the space provided. There are 6 questions totaling

More information

Job Posting (Aug. 19) ECE 425. ARM7 Block Diagram. ARM Programming. Assembly Language Programming. ARM Architecture 9/7/2017. Microprocessor Systems

Job Posting (Aug. 19) ECE 425. ARM7 Block Diagram. ARM Programming. Assembly Language Programming. ARM Architecture 9/7/2017. Microprocessor Systems Job Posting (Aug. 19) ECE 425 Microprocessor Systems TECHNICAL SKILLS: Use software development tools for microcontrollers. Must have experience with verification test languages such as Vera, Specman,

More information

CoreAPB3 v4.1. Handbook

CoreAPB3 v4.1. Handbook CoreAPB3 v4.1 Handbook CoreAPB3 v4.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 5 Supported Microsemi FPGA Families... 5 Core Version... 5 Supported Interfaces... 5

More information

Timers and Pulse Accumulator

Timers and Pulse Accumulator 7 7.1 Objectives: Tiva is equipped with six General Purpose Timer Modules named TIMERn. Additionally, each TIMERn consists of two 16 bit timers (A and B). Most GPIO pins can be assigned a TIMERn as an

More information

One and a half hours. Section A is COMPULSORY

One and a half hours. Section A is COMPULSORY One and a half hours Section A is COMPULSORY An additional answersheet is provided for Question 4. Please remember to complete the additional answersheet with your University ID number and attach it to

More information

CoreGPIO v3.1. Handbook

CoreGPIO v3.1. Handbook CoreGPIO v3.1 Handbook Table of Contents Introduction...5 Core Overview... 5 Key Features... 5 Supported Families... 5 Core Version... 6 Supported Interfaces... 6 Device Utilization and Performance...

More information

General Purpose Programmable Peripheral Devices. Assistant Professor, EC Dept., Sankalchand Patel College of Engg.,Visnagar

General Purpose Programmable Peripheral Devices. Assistant Professor, EC Dept., Sankalchand Patel College of Engg.,Visnagar Chapter 15 General Purpose Programmable Peripheral Devices by Rahul Patel, Assistant Professor, EC Dept., Sankalchand Patel College of Engg.,Visnagar Microprocessor & Interfacing (140701) Rahul Patel 1

More information

Department of Electrical and Computer Engineering Introduction to Computer Engineering I (ECSE-221) Assignment 3: Sequential Logic

Department of Electrical and Computer Engineering Introduction to Computer Engineering I (ECSE-221) Assignment 3: Sequential Logic Available: February 16, 2009 Due: March 9, 2009 Department of Electrical and Computer Engineering (ECSE-221) Assignment 3: Sequential Logic Information regarding submission and final deposition time can

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text In this lecture the detailed architecture of 8051 controller, register bank,

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

PSoC Creator Component Data Sheet

PSoC Creator Component Data Sheet PSoC Creator Component Data Sheet Counter 1.50 Features Supports fixed-function and UDB-based implementations 8-, 16-, 24-, or 32-bit Counter Configurable as Up, Down or Up and Down Counter Optional Compare

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

University of Texas at Austin Electrical and Computer Engineering Department. EE319K, Embedded Systems, Spring 2013 Final Exam

University of Texas at Austin Electrical and Computer Engineering Department. EE319K, Embedded Systems, Spring 2013 Final Exam University of Texas at Austin Electrical and Computer Engineering Department EE319K, Embedded Systems, Spring 2013 Final Exam Directions There are 6 problems worth a total of 100 points. The number of

More information

AvnetCore: Datasheet

AvnetCore: Datasheet AvnetCore: Datasheet CAN Controller with / FIFO Intended Use: Automotive Industry Engine Control Unit Sensors Version 1.0, July 2006 xcan_clk (>8 MHz) pclk reset_n APB Interrupts System Control APB Interface

More information

ARM Cortex-M4 Architecture and Instruction Set 1: Architecture Overview

ARM Cortex-M4 Architecture and Instruction Set 1: Architecture Overview ARM Cortex-M4 Architecture and Instruction Set 1: Architecture Overview M J Brockway January 25, 2016 UM10562 All information provided in this document is subject to legal disclaimers. NXP B.V. 2014. All

More information

Read this before starting!

Read this before starting! Points missed: Student's Name: Total score: /100 points East Tennessee State University Department of Computer and Information Sciences CSCI 2150 (Tarnoff) Computer Organization TEST 1 for Spring Semester,

More information