EECS 470: Computer Architecture. Discussion #2 Friday, September 14, 2007

Size: px
Start display at page:

Download "EECS 470: Computer Architecture. Discussion #2 Friday, September 14, 2007"

Transcription

1 EECS 470: Computer Architecture Discussion #2 Friday, September 14, 2007

2 Administrative Homework 1 due right now Project 1 due tonight Make sure its synthesizable Homework 2 due week from Wednesday Project 2 due week from Monday

3 Setting values assign statements Descriptions of combinational logic assign <wire> = <expression>; Left hand side must be a wire, right hand side can be anything Example wire [3:0] a; // 3 bit wire [3:0] b; // 3 bit wire sel; // sel == 0 -> a, otherwise b; wire [3:0] result; assign result = sel? b : a;

4 Setting Values always block All outputs(lhs) must be registers Much of the time they ll become wires We ll mostly use two kinds: Updated whenever any of the inputs change All outputs should become wires Use blocking operator (=) Assign variable through all paths clock) Update synchronously at positive clock edge Use delay statements, # Use non-blocking operator (<=) Does not need to assign variable through all paths

5 Setting values always block examples Combinational Example reg x; begin if (en) x = a b; else x = c; end

6 Setting values always block examples Combinational Example reg x; begin x = c; if (en) x = a b; end

7 Setting values always block examples Sequential Example clock) begin if (reset) x <= #1 1 b0; else begin if (en) x <= #1 new_x; end end

8 Simple Example 4 Input AND gate module AND2(a,x); input [1:0] a; output x; assign x=a[0] & a[1]; endmodule module AND4(in,out); input [3:0] in; output out; wire [1:0] tmp; AND2 left(.a(in[1:0]),.x(tmp[0])); AND2 right(.a(in[3:2]),.x(tmp[1])); AND2 top(.a(tmp),.x(out)); endmodule

9 Simple Example - Diagram in[0] in[1] in[2] in[3] a[0] a[1] a[0] a[1] AND2 x AND4 tmp[0] tmp[1] AND2 x a[0] a[1] AND2 x out

10 Array Connections Make a simple module and duplicate it a bunch Assume we have a module definition: one_bit_addr(a,b,cin,sum,cout); All ports are 1 bit, first three input, last two output How do we build an eight bit adder?

11 The Error Prone Way module eight_bit_addr(a,b,cin,sum,cout); input [7:0] a,b; input cin; output [7:0] sum; output cout; wire [6:0] carries; one_bit_addr a0(a[0],b[0],cin,sum[0], carries[0]); one_bit_addr a1(a[1],b[1],carries[0],sum[1], carries[1]); one_bit_addr a2(a[2],b[2],carries[1],sum[2], carries[2]); one_bit_addr a3(a[3],b[3],carries[2],sum[3], carries[3]); one_bit_addr a4(a[4],b[4],carries[3],sum[4], carries[4]); one_bit_addr a5(a[5],b[5],carries[4],sum[5], carries[5]); one_bit_addr a6(a[6],b[6],carries[5],sum[6], carries[6]); one_bit_addr a7(a[7],b[7],carries[6],sum[7], cout); endmodule

12 The Error Prone Way Continued Lots of duplicated code If you missed replacing one number it s hard to find Especially if it was much bigger, and had even more connections Your tests might not catch the case There is an one line substitute

13 The Better Way module eight_bit_addr(a,b,cin,sum,cout); input [7:0] a,b; input cin; output [7:0] sum; output cout; wire [6:0] carries; one_bit_addr addr [7:0] (.a(a),.b(b),.cin({carries,cin}),.sum(sum),.cout({cout, carries})); Since the one_bit_addr ports are all 1 bit, we are instantiating 8 of them, and the eight_bit_addr ports are 8 bits, each one bit port will get one bit from the 8 bit value.

14 Array Connections Summary If the port width matches the wire width the wire is connected to the port Note the concatenation operator in the previous example It s making the carries width correct and taking care of the boundary conditions

15 Synthesis Translate verilog to gates Optimize translation to meet certain constraits Extremely complex process If you follow all the directions we ve given you everything will probably work I m not guaranteeing it though All you designs will need to synthesize That way you ll know you re not doing anything that would be hard to implement in gates Clock period isn t perfect No global placement and routing We fake the capacitance of wires

16 Hints to Synthesis Tool //synopysis sync_set_reset "<signal>" Goes right before a synchronous always block Tells Design Compiler that the <signal> is a synchronous reset Helps the synthesis tool choose a synchronous reset //synopysis parallel_case Placed before a case statement Only one branch of a case can be true at a time //synopysis full_case Placed before a case statement Any unspecified cases are invalid You can also put a default: in the case for good measure //synopysis one_hot "<signal>" Placed after signal declared Only one signal of the group will be 1 at a given time

17 Synthesis Scripts #/***********************************************************/ #/* The following five lines must be updated for every */ #/* new design */ #/***********************************************************/ read_file -f verilog [list "inout.v"] set design_name tinout set clock_name clock set CLK_PERIOD 6 set reset_name reset #/***********************************************************/ #/* The rest of this file may be left alone for most small */ #/* to moderate sized designs. You may need to alter it */ #/* when synthesizing your final project. */ #/***********************************************************/ set SYN_DIR./ set search_path "/afs/engin.umich.edu/caen/generic/mentor_lib-d.1/public/eecs470/synopsys/" set target_library "lec25dscc25_tt.db

18 Synthesis Script A bunch of directives to tell the Design Compiler what to do Minimally you need to be familiar with the first 5 lines read_file -f verilog [list "myfile.v"] Read the verilog file myfile.v set design_name mydesign Synthesize the module mydesign and all modules it instantiates set clock_name clock The name of the clock set CLK_PERIOD 6 Set the clock period to 6ns set reset_name reset The name of the reset line in reset

19 More Advanced Synthesis As designs get bigger you may want to break up the synthesis into multiple parts In this case you may compile lower level modules separately and work your way up Although not strictly necessary, you ll need to do it for the multiplier We ll talk more about it for your final project The lowest level will be just like this Higher levels will include the lower levels output and the file to synthesize Look at.tcl in project 2 to see how the higher level includes the lower level You should familiarize yourself with the tcl files. If you would like to look at the documentation for VCS or Design Complier execute: sold

20 Synthesis Output xxxx_synth.out The output that scrolls across the screen at high speed <designname>.chk The synthesis tool places warnings in here <designname>.rep Timing report <designname>.vg Structural verilog output <designname>.db/xg Compiled output for including in other designs

21 synth.out Prints all the lines in the tcl file as it executes them If you have a problem with synthesis this is a good first place to look *** Presto compilation terminated with 2 errors. *** Also contains information about what flipflops/latches it found

22 synth.out Good output Inferred memory devices in process in routine <design_name> line XXX in file <path to file>/<file>.v. =============================================================================== Register Name Type Width Bus MB AR AS SR SS ST =============================================================================== state_reg Flip-flop 2 Y N N N Y N N =============================================================================== All the Types are: Flip-flop Every register we think we should have, should be listed along with the correct width

23 synth.out Bad output Inferred memory devices in process in routine <design_name> line XXX in file <path to file>/<file>.v. =========================================================================== Register Name Type Width Bus MB AR AS SR SS ST =========================================================================== next_state_reg Latch 2 Y N N N =========================================================================== You should never see a Latch It means you have some state in one of your combinational blocks Gives you the line number to go find the error

24 <design name>.chk Prints warnings that may or may not be a problem Good to look at and verify that you don t have a problem Warning: In design icache, port proc2icache_addr[0] is not connected to any nets. (LINT-28) That is fine if you didn t connect those bits to anything Or they are always 0 because you can t have an unaligned access Will give you places to look if you have problems with your synthesized code

25 <design name>.rep Lists critical paths through your design All slacks should be MET If any are VIOLATED you have too aggressive of a clock period or a bad design

26 <design name>.rep startpoint: state_reg[1] (rising edge-triggered flip-flop clocked by clock) Endpoint: gnt_b (output port clocked by clock)... Point Fanout Trans Incr Path state_reg[1]/clk (dffcs1) r state_reg[1]/qn (dffcs1) f n5 (net) f state_reg[1]/q (dffcs1) r gnt_b (net) r gnt_b (out) r data arrival time 0.42 max_delay clock uncertainty output external delay data required time data required time 5.80 data arrival time slack (MET) 5.38

27 <design name>.rep Trans Time for a logic transition to occur Incr Time that is added to the critical path because of it Path Total Path so far Slack needs to be positive: closer to 0 it is, closer you are to the clock period limit Just because you have Xns of slack doesn t mean that you can t do better If there is a lot of slack VCS won t try very hard Closer to the limit you are the harder it will try (the longer it will take)

28 <design name>.vg module a1 ( clock, reset, req_a, gnt_a, req_b, gnt_b ); input clock, reset, req_a, req_b; output gnt_a, gnt_b; wire N19, N20, N21, n2, n3, n5; wire [1:0] next_state; hib1s1 U9 (.Q(n2),.DIN(reset) ); dffcs2 \state_reg[0] (.Q(gnt_a),.CLK(clock),.CLRB(next_state[0]),.DIN( n2) ); dffcs1 \state_reg[1] (.Q(gnt_b),.QN(n5),.CLK(clock),.CLRB(next_state[.DIN(n3) ); and2s1 U10 (.Q(N19),.DIN1(req_a),.DIN2(n5) ); nor3s1 U11 (.Q(N21),.DIN1(N19),.DIN2(gnt_a),.DIN3(n3) ); ib1s1 U12 (.Q(n3),.DIN(req_b) ); or4s1 U13 (.Q(N20),.DIN1(gnt_a),.DIN2(gnt_b),.DIN3(req_a), DIN4(req_b)); endmodule

29 Multiplying by partial products Most hardware multipliers involve computing a number of partial products and then summing them Very similar to how you learned to multiply in second grade Do each bit at a time and then sum all the partial products to get your answer

30 Second Grade Way * 0101 *

31 2 bits at a time partial products xx << 2 * xx01 * 01xx >>

32 2 bits at a time add products

33 2-stage multiplication 2 bits at a time multicand: (11) * multiplier: (7) partial product:

34 2-stage multiplication 2 bits at a time multicand: * multiplier: (33) + partial product: (0)

35 2-stage multiplication 2 bits at a time multicand: * multiplier: partial product: (33)

36 2-stage multiplication 2 bits at a time multicand: << 2 * multiplier: >> partial product:

37 2-stage multiplication 2 bits at a time multicand: (44) * multiplier: (1) partial product:

38 2-stage multiplication 2 bits at a time multicand: * multiplier: (44) + partial product: (33)

39 2-stage multiplication 2 bits at a time multicand: * multiplier: partial product: (77)

40 Project 2 Part 1 Supplied with a 8-stage multiplier Make a 4-stage multiplier Make a 2-stage multiplier Synthesize each and answer some questions Make sure you set an aggressive clock period

41 Part 1 pipe_mult.v module mult(clock, reset, mplier, mcand, start, product, done); input clock, reset, start; input [63:0] mcand, mplier; output [63:0] product; output done; wire [63:0] mcand_out, mplier_out; wire [(7*64)-1:0] internal_products, internal_mcands, internal_mpliers; wire [6:0] internal_dones; mult_stage mstage [7:0] (.clock(clock),.reset(reset),.product_in({internal_products,64 h0}),.mplier_in({internal_mpliers,mplier}),.mcand_in({internal_mcands,mcand}),.start({internal_dones,start}),.product_out({product,internal_products}),.mplier_out({mplier_out,internal_mpliers}),.mcand_out({mcand_out,internal_mcands}),.done({done,internal_dones}) ); endmodule

42 Part 1 mult_stage.v module mult_stage(clock, reset, product_in, mplier_in, mcand_in, start, product_out, mplier_out, mcand_out, done);... reg [63:0] prod_in_reg, partial_prod_reg; wire [63:0] partial_product, next_mplier, next_mcand; assign product_out = prod_in_reg + partial_prod_reg; assign partial_product = mplier_in[7:0] * mcand_in; assign next_mplier = {8 b0,mplier_in[63:8]}; assign next_mcand = {mcand_in[55:0],8 b0}; clock) begin prod_in_reg <= #1 product_in; partial_prod_reg <= #1 partial_product; mplier_out <= #1 next_mplier; mcand_out <= #1 next_mcand; end clock) begin if(reset) done <= #1 1 b0; else done <= #1 start; end endmodule

43 Part 2 Integer Square Root Conceptually it s a loop Propose highest bit of answer is set and square the proposed answer If the result < value keep the bit set Otherwise clear the bit now try the next most significant bit You won t use a loop primitive to implement it though

44 Part 2 ISR state machine Set the highest bit of the solution Start a multiply Wait until the multiply completes Check the result against the value that you re computing the ISR of If less than keep the bit, greater than clear the bit Start with the next most significant bit until you ve tested all 32 bits When done with all 32 bits raise the done signal for 1 cycle If at any time you receive a reset signal start over

45 Part 2 Warnings When you re dealing with 64 bit numbers in verilog you need to specify them as 64 hxxxx or 64 dxxxxx If you leave off the 64 you won t get the number you wanted Pay attention to how the reset operates If your device receives a reset during it s calculation, it should start over with the new value The reset causes the input value to be flopped (stored by the ISR module) The value can change after the reset goes low Your testbenches should also be testing for these conditions Must not take more than 600 cycles to complete one ISR Average is between cycles

46 Part 2 Simple Example Input (173) Proposed 0000 (0) Proposed (0)

47 Part 2 Simple Example Input (173) Proposed 1000 (8) Proposed (0)

48 Part 2 Simple Example Input (173) Proposed 1000 (8) Proposed (64)

49 Part 2 Simple Example Input (173) Proposed 1100 (12) Proposed (0)

50 Part 2 Simple Example Input (173) Proposed 1100 (12) Proposed (144)

51 Part 2 Simple Example Input (173) Proposed 1110 (14) Proposed (0)

52 Part 2 Simple Example Input (173) Proposed 1110 (14) Proposed (196)

53 Part 2 Simple Example Input (173) Proposed 1100 (12) Proposed (196)

54 Part 2 Simple Example Input (173) Proposed 1101 (13) Proposed (0)

55 Part 2 Simple Example Input (173) Proposed 1101 (13) Proposed (169)

56 Part 2 Simple Example Input (173) Proposed 1101 (13) Proposed (169) 173 =

57 Part 3 Synthesize ISR Synthesize the ISR module you made in Part 2 Answer some more questions

58 Synthesis mult.tcl read_file -f ddc [list "mult_stage.ddc"] set_dont_touch mult_stage read_file -f verilog [list "pipe_mult.v"] set design_name mult set clock_name clock set reset_name reset set CLK_PERIOD 10

The Verilog Synthesis Process (v1.0) (According to the 470 GSIs)

The Verilog Synthesis Process (v1.0) (According to the 470 GSIs) The Verilog Synthesis Process (v1.0) (According to the 470 GSIs) 1 Introduction Janurary 20, 2008 The purpose of this document is to teach you about Makefiles and the Verilog synthesis process. The synthesis

More information

EECS 470 Lab 2. Synopsys Build System. Department of Electrical Engineering and Computer Science College of Engineering University of Michigan

EECS 470 Lab 2. Synopsys Build System. Department of Electrical Engineering and Computer Science College of Engineering University of Michigan EECS 470 Lab 2 Synopsys Build System Department of Electrical Engineering and Computer Science College of Engineering University of Michigan Friday, 17 th January, 2014 (University of Michigan) Lab 2:

More information

Verilog for Synthesis Ing. Pullini Antonio

Verilog for Synthesis Ing. Pullini Antonio Verilog for Synthesis Ing. Pullini Antonio antonio.pullini@epfl.ch Outline Introduction to Verilog HDL Describing combinational logic Inference of basic combinational blocks Describing sequential circuits

More information

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 98-1 Under-Graduate Project Synthesis of Combinational Logic Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 What is synthesis? Outline Behavior Description for Synthesis Write Efficient HDL

More information

HDL Compiler Directives 7

HDL Compiler Directives 7 7 HDL Compiler Directives 7 Directives are a special case of regular comments and are ignored by the Verilog HDL simulator HDL Compiler directives begin, like all other Verilog comments, with the characters

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

ENGR 3410: Lab #1 MIPS 32-bit Register File

ENGR 3410: Lab #1 MIPS 32-bit Register File ENGR 3410: Lab #1 MIPS 32-bit Register File Due: October 12, 2005, beginning of class 1 Introduction The purpose of this lab is to create the first large component of our MIPS-style microprocessor the

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

CS429: Computer Organization and Architecture

CS429: Computer Organization and Architecture CS429: Computer Organization and Architecture Dr. Bill Young Department of Computer Sciences University of Texas at Austin Last updated: January 2, 2018 at 11:23 CS429 Slideset 5: 1 Topics of this Slideset

More information

EECS 270 Verilog Reference: Sequential Logic

EECS 270 Verilog Reference: Sequential Logic 1 Introduction EECS 270 Verilog Reference: Sequential Logic In the first few EECS 270 labs, your designs were based solely on combinational logic, which is logic that deps only on its current inputs. However,

More information

ARM 64-bit Register File

ARM 64-bit Register File ARM 64-bit Register File Introduction: In this class we will develop and simulate a simple, pipelined ARM microprocessor. Labs #1 & #2 build some basic components of the processor, then labs #3 and #4

More information

EECS 470 Lab 3. SystemVerilog Style Guide. Department of Electrical Engineering and Computer Science College of Engineering University of Michigan

EECS 470 Lab 3. SystemVerilog Style Guide. Department of Electrical Engineering and Computer Science College of Engineering University of Michigan EECS 470 Lab 3 SystemVerilog Style Guide Department of Electrical Engineering and Computer Science College of Engineering University of Michigan Thursday, 18 th January 2018 (University of Michigan) Lab

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis September 26, 2002 John Wawrzynek Fall 2002 EECS150 Lec10-synthesis Page 1 Logic Synthesis Verilog and VHDL stated out as simulation languages, but quickly

More information

ECEN 468 Advanced Logic Design

ECEN 468 Advanced Logic Design ECEN 468 Advanced Logic Design Lecture 28: Synthesis of Language Constructs Synthesis of Nets v An explicitly declared net may be eliminated in synthesis v Primary input and output (ports) are always retained

More information

Writing Circuit Descriptions 8

Writing Circuit Descriptions 8 8 Writing Circuit Descriptions 8 You can write many logically equivalent descriptions in Verilog to describe a circuit design. However, some descriptions are more efficient than others in terms of the

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references. EECS 427 W07 Lecture 14 1

EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references. EECS 427 W07 Lecture 14 1 EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references EECS 427 W07 Lecture 14 1 Online Verilog Resources ASICs the book, Ch. 11: http://www.ge.infn.it/~pratolo/verilog/verilogtutorial.pdf

More information

Chap 6 Introduction to HDL (d)

Chap 6 Introduction to HDL (d) Design with Verilog Chap 6 Introduction to HDL (d) Credit to: MD Rizal Othman Faculty of Electrical & Electronics Engineering Universiti Malaysia Pahang Ext: 6036 VERILOG HDL Basic Unit A module Module

More information

Verilog For Synthesis

Verilog For Synthesis Coding with always @(...) Coding with always @(...) always This is separate from the @(...) command. In C procedures are executed when called. In Verilog procedures are executed continuously by default.

More information

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language COMS W4995-02 Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language Originally a modeling language for a very efficient event-driven

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

EECS 470 Lab 1. Verilog: Hardware Description Language

EECS 470 Lab 1. Verilog: Hardware Description Language EECS 470 Lab 1 Verilog: Hardware Description Language Department of Electrical Engineering and Computer Science College of Engineering University of Michigan Thursday, 6 th September, 2018 (University

More information

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design Topics of this Slideset CS429: Computer Organization and rchitecture Dr. Bill Young Department of Computer Science University of Texas at ustin Last updated: July 5, 2018 at 11:55 To execute a program

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Engin 100 (section 250), Winter 2015, Technical Lecture 3 Page 1 of 5. Use pencil!

Engin 100 (section 250), Winter 2015, Technical Lecture 3 Page 1 of 5. Use pencil! Engin 100 (section 250), Winter 2015, Technical Lecture 3 Page 1 of 5 Use pencil! Last time Introduced basic logic and some terms including bus, word, register and combinational logic. Talked about schematic

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

EECS 470 Lab 1. Verilog: Hardware Description Language

EECS 470 Lab 1. Verilog: Hardware Description Language EECS 470 Lab 1 Verilog: Hardware Description Language Department of Electrical Engineering and Computer Science College of Engineering University of Michigan Thursday, 10 th January, 2019 (University of

More information

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation A Verilog Primer An Overview of Verilog for Digital Design and Simulation John Wright Vighnesh Iyer Department of Electrical Engineering and Computer Sciences College of Engineering, University of California,

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis Synthesis of Language Constructs 1 Nets Nets declared to be input or output ports are retained Internal nets may be eliminated due to logic optimization User may force a net to exist trireg, tri0, tri1

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis ECE 4514 Digital Design II A Tools/Methods Lecture Second half of Digital Design II 9 10-Mar-08 L13 (T) Logic Synthesis PJ2 13-Mar-08 L14 (D) FPGA Technology 10 18-Mar-08 No Class (Instructor on Conference)

More information

Chapter 4 :: Topics. Introduction. SystemVerilog. Hardware description language (HDL): allows designer to specify logic function only.

Chapter 4 :: Topics. Introduction. SystemVerilog. Hardware description language (HDL): allows designer to specify logic function only. Chapter 4 :: Hardware Description Languages Digital Design and Computer Architecture David Money Harris and Sarah L. Harris Chapter 4 :: Topics Introduction Combinational Logic Structural Modeling Sequential

More information

ENGR 3410: MP #1 MIPS 32-bit Register File

ENGR 3410: MP #1 MIPS 32-bit Register File ENGR 3410: MP #1 MIPS 32-bit Register File Due: Before class, September 23rd, 2008 1 Introduction The purpose of this machine problem is to create the first large component of our MIPS-style microprocessor

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

ENGR 3410: MP #1 MIPS 32-bit Register File

ENGR 3410: MP #1 MIPS 32-bit Register File ENGR 3410: MP #1 MIPS 32-bit Register File Due: October 12, 2007, 5pm 1 Introduction The purpose of this machine problem is to create the first large component of our MIPS-style microprocessor the register

More information

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis Logic Synthesis Verilog and VHDL started out as simulation languages, but quickly people wrote programs to automatically convert Verilog code into low-level circuit descriptions (netlists). EECS150 - Digital

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

Introduction to Verilog design. Design flow (from the book)

Introduction to Verilog design. Design flow (from the book) Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 1 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Problem Set 3 Solutions

Problem Set 3 Solutions Problem Set 3 Solutions ECE 551: Digital System Design and Synthesis Fall 2001 Final Version 1) For each of the following always behaviors: a) Does the given always behavior need a default statement as

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering VERILOG FUNDAMENTALS HDLs HISTORY HOW FPGA & VERILOG ARE RELATED CODING IN VERILOG HDLs HISTORY HDL HARDWARE DESCRIPTION LANGUAGE

More information

N-input EX-NOR gate. N-output inverter. N-input NOR gate

N-input EX-NOR gate. N-output inverter. N-input NOR gate Hardware Description Language HDL Introduction HDL is a hardware description language used to design and document electronic systems. HDL allows designers to design at various levels of abstraction. It

More information

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1]

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1] FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language Reference: [] FIELD PROGRAMMABLE GATE ARRAY FPGA is a hardware logic device that is programmable Logic functions may be programmed

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

Lecture 32: SystemVerilog

Lecture 32: SystemVerilog Lecture 32: SystemVerilog Outline SystemVerilog module adder(input logic [31:0] a, input logic [31:0] b, output logic [31:0] y); assign y = a + b; Note that the inputs and outputs are 32-bit busses. 17:

More information

Outline. EECS150 - Digital Design Lecture 5 - Verilog 2. Structural Model: 2-to1 mux. Structural Model - XOR. Verilog Basics Lots of Examples

Outline. EECS150 - Digital Design Lecture 5 - Verilog 2. Structural Model: 2-to1 mux. Structural Model - XOR. Verilog Basics Lots of Examples Outline EECS150 - Digital Design Lecture 5 - Verilog 2 Verilog Basics Lots of Examples February 1, 2005 John Wawrzynek Spring 2005 EECS150 - Lec05-Verilog2 Page 1 Spring 2005 EECS150 - Lec05-Verilog2 Page

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Physics 364, Fall 2012, reading due your answers to before the end of Wednesday s lab.

Physics 364, Fall 2012, reading due your answers to before the end of Wednesday s lab. Physics 364, Fall 2012, reading due 2012-11-28. Email your answers to ashmansk@hep.upenn.edu before the end of Wednesday s lab. Course materials and schedule are at http://positron.hep.upenn.edu/p364 Assignment:

More information

VERILOG HDL. 1 ENGN3213: Digital Systems and Microprocessors L#5-6

VERILOG HDL. 1 ENGN3213: Digital Systems and Microprocessors L#5-6 VERILOG HDL 1 ENGN3213: Digital Systems and Microprocessors L#5-6 Some Reference Material (mostly advanced) \vspace{10mm} http://engnet.anu.edu.au/decourses/engn3213/documents/verilog/ VerilogIntro SASAKI.pdf

More information

Digital System Design Verilog-Part III. Amir Masoud Gharehbaghi

Digital System Design Verilog-Part III. Amir Masoud Gharehbaghi Digital System Design Verilog-Part III Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Procedural Blocks initial block always block Place in module body Run concurrently with other module constructs Continuous

More information

EECS 470 Lab 6. SystemVerilog. Department of Electrical Engineering and Computer Science College of Engineering. (University of Michigan)

EECS 470 Lab 6. SystemVerilog. Department of Electrical Engineering and Computer Science College of Engineering. (University of Michigan) EECS 470 Lab 6 SystemVerilog Department of Electrical Engineering and Computer Science College of Engineering University of Michigan Thursday, October. 18 th, 2018 Thursday, October. 18 th, 2018 1 / Overview

More information

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2 Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

271/471 Verilog Tutorial

271/471 Verilog Tutorial 271/471 Verilog Tutorial Prof. Scott Hauck, last revised 9/15/14 Introduction The following tutorial is inted to get you going quickly in circuit design in Verilog. It isn t a comprehensive guide to System

More information

Quick Introduction to SystemVerilog: Sequental Logic

Quick Introduction to SystemVerilog: Sequental Logic ! Quick Introduction to SystemVerilog: Sequental Logic Lecture L3 8-545 Advanced Digital Design ECE Department Many elements Don Thomas, 24, used with permission with credit to G. Larson Today Quick synopsis

More information

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3 Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm Lecture 3 Lecture 3 Topics Covered: Chapter 4 Discuss Sequential logic Verilog Coding Introduce Sequential coding Further review of Combinational Verilog

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

Writing VHDL for RTL Synthesis

Writing VHDL for RTL Synthesis Writing VHDL for RTL Synthesis Stephen A. Edwards, Columbia University December 21, 2009 The name VHDL is representative of the language itself: it is a two-level acronym that stands for VHSIC Hardware

More information

Image Courtesy CS250 Section 2. Yunsup Lee 9/4/09

Image Courtesy  CS250 Section 2. Yunsup Lee 9/4/09 CS250 Section 2 Image Courtesy www.intel.com Yunsup Lee 9/4/09 Upcoming dates! 9/8/09 (12:30pm) - Lab 1 due (No late days for Lab 1!)! Submit using SVN (source, build, writeup)! 9/8/09 - Lab 2 out! Write

More information

CS6710 Tool Suite. Verilog is the Key Tool

CS6710 Tool Suite. Verilog is the Key Tool CS6710 Tool Suite Verilog-XL Behavioral Verilog Your Library Cadence SOC Encounter Synopsys Synthesis Structural Verilog Circuit Layout CSI Verilog-XL AutoRouter Cadence Virtuoso Layout LVS Layout-XL Cadence

More information

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 Professor Sherief Reda http://scaleenginbrownedu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University Digital Circuit Design and Language Datapath Design Chang, Ik Joon Kyunghee University Typical Synchronous Design + Control Section : Finite State Machine + Data Section: Adder, Multiplier, Shift Register

More information

Verilog introduction. Embedded and Ambient Systems Lab

Verilog introduction. Embedded and Ambient Systems Lab Verilog introduction Embedded and Ambient Systems Lab Purpose of HDL languages Modeling hardware behavior Large part of these languages can only be used for simulation, not for hardware generation (synthesis)

More information

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

EE 231 Fall EE 231 Homework 8 Due October 20, 2010 EE 231 Homework 8 Due October 20, 20 1. Consider the circuit below. It has three inputs (x and clock), and one output (z). At reset, the circuit starts with the outputs of all flip-flops at 0. x z J Q

More information

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb UMass Amherst Fall 2017

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb UMass Amherst Fall 2017 ECE 353 Lab 4 Verilog Review Professor Daniel Holcomb UMass Amherst Fall 2017 What You Will Do In Lab 4 Design and implement a serial MIDI receiver Hardware in an Altera Complex Programmable Logic Device

More information

Digital Design using HDLs EE 4755 Final Examination

Digital Design using HDLs EE 4755 Final Examination Name Solution Digital Design using HDLs EE 4755 Final Examination Monday, 8 December 214 1:-12: CST Alias Not Synthesizable Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (2 pts) (2 pts)

More information

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified.

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. 1 In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. I will also introduce the idea of a testbench as part of a design specification.

More information

Behavioral Modeling and Timing Constraints

Behavioral Modeling and Timing Constraints Lab Workbook Introduction Behavioral modeling was introduced in Lab 1 as one of three widely used modeling styles. Additional capabilities with respect to testbenches were further introduced in Lab 4.

More information

A Brief Introduction to Verilog Hardware Definition Language (HDL)

A Brief Introduction to Verilog Hardware Definition Language (HDL) www.realdigital.org A Brief Introduction to Verilog Hardware Definition Language (HDL) Forward Verilog is a Hardware Description language (HDL) that is used to define the structure and/or behavior of digital

More information

Project Timing Analysis

Project Timing Analysis Project Timing Analysis Jacob Schneider, Intel Corp Sanjeev Gokhale, Intel Corp Mark McDermott EE 382M Class Notes Overview Brief overview of global timing Example of extracting AT, RAT, and PASSTHROUGHs

More information

Chapter 4. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 4 <1>

Chapter 4. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 4 <1> Chapter 4 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 4 Chapter 4 :: Topics Introduction Combinational Logic Structural Modeling Sequential

More information

Chapter 4. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 4 <1>

Chapter 4. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 4 <1> Chapter 4 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 4 Chapter 4 :: Topics Introduction Combinational Logic Structural Modeling Sequential

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 Xilinx FPGAs Chapter 7 Spartan 3E Architecture Source: Spartan-3E FPGA Family Datasheet CLB Configurable Logic Blocks Each CLB contains four slices Each slice

More information

Synthesis. Other key files. Standard cell (NAND, NOR, Flip-Flop, etc.) FPGA CLB

Synthesis. Other key files. Standard cell (NAND, NOR, Flip-Flop, etc.) FPGA CLB SYNTHESIS Synthesis Involves synthesizing a gate netlist from verilog source code We use Design Compiler (DC) by Synopsys which is the most popular synthesis tool used in industry Target library examples:

More information

Lecture #2: Verilog HDL

Lecture #2: Verilog HDL Lecture #2: Verilog HDL Paul Hartke Phartke@stanford.edu Stanford EE183 April 8, 2002 EE183 Design Process Understand problem and generate block diagram of solution Code block diagram in verilog HDL Synthesize

More information

271/469 Verilog Tutorial

271/469 Verilog Tutorial 271/469 Verilog Tutorial Prof. Scott Hauck, last revised 8/14/17 Introduction The following tutorial is inted to get you going quickly in circuit design in Verilog. It isn t a comprehensive guide to System

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 9: Coding for Synthesis (cont.) Prof. Mingjie Lin 1 Code Principles Use blocking assignments to model combinatorial logic. Use nonblocking assignments to

More information

Lab 2 Designing with Verilog

Lab 2 Designing with Verilog UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 2 Designing with Verilog 1.0 Motivation In this lab you will learn how to express

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed.

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed. VLSI SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING VLSI System Design 1 LAB 01 Schematic Introduction to DSCH and

More information

case Statatement Common variations for case statement Verilog has an implied break statement unlike C

case Statatement Common variations for case statement Verilog has an implied break statement unlike C case Statement case also creates combinatorial logic and is easier to read when if statements are nested more than three deep or when many checks are made against the same expression. When the case selection

More information

Introduction to Verilog

Introduction to Verilog Introduction to Verilog Structure of a Verilog Program A Verilog program is structured as a set of modules, which may represent anything from a collection of logic gates to a complete system. A module

More information

Course Topics - Outline

Course Topics - Outline Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types Lecture 4 - Operators Lecture 5 - Behavioral modeling A Lecture 6 Behavioral modeling B Lecture 7

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

Introduction to Digital Design with Verilog HDL

Introduction to Digital Design with Verilog HDL Introduction to Digital Design with Verilog HDL Modeling Styles 1 Levels of Abstraction n Behavioral The highest level of abstraction provided by Verilog HDL. A module is implemented in terms of the desired

More information

FSM and Efficient Synthesizable FSM Design using Verilog

FSM and Efficient Synthesizable FSM Design using Verilog FSM and Efficient Synthesizable FSM Design using Verilog Introduction There are many ways to code FSMs including many very poor ways to code FSMs. This lecture offers guidelines for doing efficient coding,

More information

VHDL: RTL Synthesis Basics. 1 of 59

VHDL: RTL Synthesis Basics. 1 of 59 VHDL: RTL Synthesis Basics 1 of 59 Goals To learn the basics of RTL synthesis. To be able to synthesize a digital system, given its VHDL model. To be able to relate VHDL code to its synthesized output.

More information

Lab 7 (All Sections) Prelab: Introduction to Verilog

Lab 7 (All Sections) Prelab: Introduction to Verilog Lab 7 (All Sections) Prelab: Introduction to Verilog Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work 1 Objective The

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

HDLs and SystemVerilog. Digital Computer Design

HDLs and SystemVerilog. Digital Computer Design HDLs and SystemVerilog Digital Computer Design Logic Arrays Gates can be organized into regular arrays. If the connections are made programmable, these logic arrays can be configured to perform any function

More information

Verilog Overview. The Verilog Hardware Description Language. Simulation of Digital Systems. Simulation of Digital Systems. Don Thomas, 1998, Page 1

Verilog Overview. The Verilog Hardware Description Language. Simulation of Digital Systems. Simulation of Digital Systems. Don Thomas, 1998, Page 1 The Verilog Hardware Description Language These slides were created by Prof. Don Thomas at Carnegie Mellon University, and are adapted here with permission. The Verilog Hardware Description Language, Fifth

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

Physics 364, Fall 2014, reading due your answers to by 11pm on Sunday

Physics 364, Fall 2014, reading due your answers to by 11pm on Sunday Physics 364, Fall 2014, reading due 2014-11-23. Email your answers to ashmansk@hep.upenn.edu by 11pm on Sunday Course materials and schedule are at positron.hep.upenn.edu/p364 Assignment: (a) First read

More information