Enabling success from the center of technology. Xilinx DSP Model-Based Design Solutions

Size: px
Start display at page:

Download "Enabling success from the center of technology. Xilinx DSP Model-Based Design Solutions"

Transcription

1 Xilinx DSP Model-Based Design Solutions

2 Course Goals 2 Present the integrated Xilinx model-based DSP design flows and their benefits Demonstrate how AccelDSP explores and implements a MATLAB design in a Xilinx FPGA Show how System Generator 9.1 uses Simulink to integrate system-level designs into FPGA hardware

3 Agenda 3 Why use FPGAs for DSP? Model-based design concepts Xilinx DSP tool flows AccelDSP 9.1 Demo System Generator 9.1 Demo Synplify DSP Overview Summary & Questions

4 Agenda 4 Why use FPGAs for DSP? Model-based design concepts Xilinx DSP tool flows AccelDSP 9.1 Demo System Generator 9.1 Demo Synplify DSP Overview Summary & Questions

5 DSP Performance Gap 5 Performance (GMACs*) (Algorithmic and Processor Forecast) 350 GMACs 30 GMACs 1 GMACs Algorithm Complexity Shannon s Law DSP/GPP Performance Limit Virtex-DSP Spartan-DSP 3D Medical Imaging Base Stations HD Audio/Video Broadcast Radar & Sonar HD Video Surveillance Mounted Software Defined Radio MIMO Ultrasound Pico/Femto Base Stations Consumer Video Video Surveillance Mobile Software Defined Radio Source: Jan Rabaey BWRC * 18x18 multiply and 48-bit accumulate Time

6 Power of Parallel Processing. 6 Programmable DSP FPGA - Sequential - Fully Parallel Implementation Data In In Reg Reg Reg Reg Reg Reg Reg Reg Coefficients 256 clock cycles needed X C0 + Reg Data Out 1 GHz 256 clock cycles X MAC Unit + C1 Reg C0 X C2 400 MHz = 4 MSPS 1 clock cycle X C3 X C Reg Reg = 400 MSPS Reg Reg X Data Out 256-tap filter implementation is 100 times faster

7 Xilinx Enabling Technology. 7 ECC and Interconnect 18 Kbit FIFO Logic 18 Kbit Dual-Port Block RAM / FIFO up to 10Mbits DSP Slices Up to 640 at 550MHz = 500MSPS Tri-Mode Ethernet Clock Management 550 MHz DCM + PLL DCM DCM PLL

8 Virtex-5 SXT / Spartan-DSP Family 8 Logic Cells DSP48E Slices Block Memory (kbits) Clock Mgmt Tiles PCI Express Endpoint Blocks Ethernet MAC Blocks GTP 3.2Gbps Transceivers XC5VSX35T 34, XC5VSX50T 52, XC5VSX95T 94, Logic Cells DSP48A Slices Block Memory (kbits) XC3SD1800A 37, ,512 XC3SD3400A 53, ,268

9 Agenda 9 Why use FPGAs for DSP? Model-based design concepts Xilinx DSP tool flows AccelDSP 9.1 Demo System Generator 9.1 Demo Synplify DSP Overview Summary & Questions

10 Problems in Traditional Development 10 Requirements and Specifications Design Implementation Test and Verification Text-based - Prevents rapid iteration Simulation - Incomplete and expensive Manual coding - Introduces human errors Traditional testing - Errors found too late

11 Advantages of Model-Based Design. 11 Requirements and Specifications Design Implementation Test and Verification Model elaboration Continuous verification Executable Models Simulation Automatic Code Generation Test with Design

12 The Platform For Model-Based Design. 12 The leading environment for technical computing The leading environment for modeling, simulating, and implementing dynamic and embedded systems

13 Model-Based Design Environment 13 Blocksets (video, comms, etc.) Real-time Workshop Toolboxes (signal, comms, etc.) ANSI C for MCUs & DSPs HDL for Xilinx FPGAs

14 Agenda 14 Why use FPGAs for DSP? Model-based design concepts Xilinx DSP tool flows AccelDSP 9.1 Demo System Generator 9.1 Demo Synplify DSP Overview Summary & Questions

15 Xilinx Model Based Flows 15 MATLAB Simulink w/ System Generator Generate

16 Challenges In Moving Algorithms to Silicon 16 Design problem - Kalman Filters Commonly used for Satellite tracking, robotics, position and velocity systems Complexity depends on size of system being estimated Linear algebra Matrix operations How do you move this model into an FPGA? On paper MATLAB Plot

17 Benefits of AccelDSP Automates manual steps Provides ability to analyze design tradeoffs at each stage Integrated design environment Manual Design Steps Floating-Pt. Algorithm Fixed-Point Conversion Architecture Definition Create / Integrate IP Blocks Create RTL Design Refine Architecture Verify RTL RTL Synthesis Steps performed by AccelDSP Floating-Pt. Algorithm AccelDSP / AccelWare RTL Synthesis AccelDSP Design Flow

18 Fixed-Point Conversion 18 Automatically generates a fixed-point model from the floating-point model The floating-point model is treated as Golden Source User interactive and controllable Fixed-point analysis tools Addresses reduced-precision arithmetic errors Accel_probe overlays both floating-point and fixed-point

19 Design Architecture Information 19 Summary highlights areas available for design exploration Alternative architectures Loops that can be rolled and unrolled Alternative Architectures Loops

20 Destination Based Flows 20 AccelDSP compiles to multiple destinations ISE System Generator HW Co-Simulation ISE System Generator HW Co-Sim Intelligent Flow Bar automatically takes the user to the appropriate step in the design flow

21 Vector and Matrix Multiply Operations 21 The computational power of MATLAB is its ability to handle linear algebra AccelDSP understands matrices and vectors natively Allows designer to quickly evaluate both parallel and resourceshared (rolled) implementations a * b = c

22 AccelDSP 9.1 DEMO 22 Kalman Filter Simply an optimal recursive data processing algorithm All matrix operations all the time Predict Enter loop Update Compute filter gain Measurement Project One Step Ahead Update filter estimate Update filter error covariance matrix

23 Separate the Hardware Part into a Function 23 MATLAB.m file %Kalman filter example rand('state',0); t=0:0.001:2; A(:,1) = cos(0:pi/400:(5/3)*pi)/2; A(:,2) = sin(0:pi/200:(10/3)*pi)/2; A(:,3) = sin(0:pi/100:(20/3)*pi)/2; A_in = A + 0.5*(rand(size(A))-.5); DIM = size(a,2); LEN = size(a,1); DIM = size(a,2); I = eye(dim); R = [ ; ; ]; P_cap_est = P_cap+I; % correction step: K = P_cap_est * inv(p_cap_est+r); p = p + K * (A' - p); P_cap = (I - K)*P_cap_est; S = p'; Block diagram view Script File Design Function %Kalman filter example rand('state',0); t=0:0.001:2; A(:,1) = cos(0:pi/400:(5/3)*pi)/2; A(:,2) = sin(0:pi/200:(10/3)*pi)/2; A(:,3) = sin(0:pi/100:(20/3)*pi)/2; A_in = A + 0.5*(rand(size(A))-.5); DIM = size(a,2); LEN = size(a,1); for i = 1:LEN [S(i,:)] = kalman_filter(a_in(i,:)); end function [S] = kalman_filter(a) DIM = size(a,2); persistent p P_cap if isempty(p_cap) P_cap = [8 0 0;0 8 0; 0 0 8]; p = ones(dim,1)/2; end; I = eye(dim); R = [ ; ; ]; P_cap_est = P_cap+I; MATLAB Stimulus DUT (design function) MATLAB Analysis % correction step: K = P_cap_est * inv(p_cap_est+r); p = p + K * (A' - p); P_cap = (I - K)*P_cap_est; S = p';

24 Steps Needed For Demo Designer parses MATLAB into separate files a) Script (non-synthesizable) b) Design function (synthesizable) MATLAB environment 2. Verify script file in floating point 3. Analyze is it synthesizable? 4. Generate fixed point model (MATLAB) 5. Explore architectural options 6. Verify fixed point model (MATLAB) 7. Generate RTL a) VHDL and testbench 8. Verify RTL simulate in ISIM 9. Generate System Generator block AccelDSP environment

25 Agenda 25 Why use FPGAs for DSP? Model-based design concepts Xilinx DSP tool flows AccelDSP 9.1 Demo System Generator 9.1 Demo Synplify DSP Overview Summary & Questions

26 Agenda 26 Why use FPGAs for DSP? Model-based design concepts Xilinx DSP tool flows AccelDSP 9.1 Demo System Generator 9.1 Demo Synplify DSP Overview Summary & Questions

27 Xilinx Model Based Flows 27 MATLAB AccelDSP Simulink w/ System Generator Generate

28 System Generator Integration.. Design DSP applications in FPGAs without hardware design experience 28 Xilinx Reference Designs Parameterize IP Blocks Embed MATLAB m-code Integrate VHDL or Verilog code Import AccelDSP designs Library of over 90 Xilinx optimized DSP building blocks

29 FIR Filter Generation 29 FIR Compiler quickly generates performance optimized FIR filters Automatically implements DSP48 blocks to achieve up to 550 MHz performance in Virtex-5 Supports multi-rate, oversampled, multi-channel and coefficient optimization MathWorks FDA Tool integration provides graphical filter design and coefficient generation FIR Compiler FDA Tool

30 Embedded Processor Design 30 DSP system can be quickly implemented as a peripheral to a Xilinx embedded processor Integration to Xilinx Platform Studio Interface details abstracted away through a shared memory interface System Generator PLB Arbiter Embedded Processor Instruction Data PLB-OPB Bridge IP Core... IP Core On-chip Peripheral Bus (OPB) OPB Arbiter Platform Studio High-Speed DSP Block Memory Controller UART Memory Controller FPGA High-speed Data Converters DDR/DDR2 Memory RS232 Connector Flash Platform Studio pcore

31 Automatic HW/SW Interface Generation 31 Includes the software API and hardware components of a processor-to-peripheral interface Traditionally requires both HW and SW design skills Time consuming even for experienced designers System Generator automatically generates the HW/SW interface Software HW / SW Interface DSP Hardware SW API HW Interface C Program Driver Files Header Files Memory Map / Address decode Hardware Interface Logic RTL

32 Hardware Co-Simulation 32 Automated HW Co-Simulation Up to 1000x simulation performance improvement Push-button flows target over 20 commercially available evaluation boards Design Simulation Time (Seconds) Software HW Co-Sim Increase Beamformer X OFDM BER Test X DUC CFR X Color Space Converter x Video Scalar X

33 What s New in System Generator 9.1? 33 New device support Complete Virtex-5 support in version 9.1 Complete Spartan-DSP support in Service Pack 1 HW Co-Simulation BSPs for new evaluation boards Virtex-5 LX Evaluation Kit Virtex-5 LXT/SXT Evaluation Board Spartan-DSP Co-Processing Starter Kit ML506 with Virtex-5 SXT50T Spartan-DSP Starter Kit

34 Enabling IP & Reference Designs 34 Digital Communications Digital pre-distortion (DPD) WiMAX (IEEE802.16e) Digital Front End WCDMA Digital Front End J.83Modulator, A/C and B IP cores Video & Imaging Video Surveillance (VoP) Video Blockset Polyphase Scaler Color Space Converter - RGB2YCrCb and YCrCb2RGB Chroma Resampler 2D FIR Filter 2D Rank Filter

35 Complete Digital Front End (DFE) Radio Design 35 Advantages Over Traditional Multi-Chip Solutions Multi-gigabit transceivers allow lower cost single chip DFE solution 30% lower power reduces PSU costs and increases reliability Higher frequency reduces cost / channel $4 300mW CPRI SERDES (Discrete PHY x2) $29 1W DSP Processing 4 ch DUC $50 2.0W DSP Processing 8 ch DDC $ W CFR Processor $40 2.0W DPD Stand-alone ASSP DAC ADC ADC ADC

36 Agenda 36 Why use FPGAs for DSP? Model-based design concepts Xilinx DSP tool flows AccelDSP 9.1 Demo System Generator 9.1 Demo Synplify DSP Overview Summary & Questions

37 System Generator 9.1 Demo Integrate and simulate AccelDSP design from first demo in a System Generator model 2. Generate Digital Front End (DFE) decimating filter using FIR Filter Compiler and FDA Tool Demonstrate Hardware Co-Simulation over Gigabit Ethernet on Avnet s Virtex-5 LX50 evaluation platform Sampled IF Input cos DDS sin LPF 5 LPF LPF 5 LPF I Q Sample Rates 100 MSPS 20 MSPS

38 Agenda 38 Why use FPGAs for DSP? Model-based design concepts Xilinx DSP tool flows AccelDSP 9.1 Demo System Generator 9.1 Demo Synplify DSP Overview Summary & Questions

39 Synplicity s Synplify DSP 39 Model-based Design Flow Simulink-based environment Floating to fixed-point conversion Integrated flow using Synplify Pro Full RTL Generation Unique System Optimization Explore multiple architectures Retiming for performance Folding for area (cost) Multi-channelization for productivity HDL Co-Simulation Export to System Generator Synplify DSP Blockset Simulink Synplify DSP Synthesis Engine RTL Synplify Pro

40 Synplify DSP Design Optimizations 40 System-level Retiming System level re-timing/pipeline insertions Improves performance c1 c2 c3 x x x Rst Rst D Q + D Q + c1 c2 c3 x x x Rst Rst Rst D Q + DD Q QRst + D QRst En En En D Q En En Automatic Multi-channel capability Creates a multi-channel system from a single channel spec Quickly determine optimal number of channels before implementation En Folding Fast, accurate speed-area tradeoffs Shares resources like multipliers Enables optimization of high-volume designs for cost En c1 c2 c3 x x x Rst Rst Rst D Q + D Rst Rst Q Rst D Q D Q + D Q D Q En En En En En En

41 Agenda 41 Why use FPGAs for DSP? Model-based design concepts Xilinx DSP tool flows AccelDSP 9.1 Demo System Generator 9.1 Demo Synplify DSP Overview Summary & Questions

42 Part of Xilinx s Complete DSP Solution 42 AccelDSP One golden MATLAB source Floating- to-fixed-point conversion Design exploration Algorithm exploration Automated verification System Generator integration MATLAB System Generator Simulink-based design Mixed language design environment Over 90 optimized Xilinx DSP blocks

43 Minimum Tool Requirements 43 System Generator Flow System Generator $ 995 MATLAB $ 1,900 Simulink $ 2,800 Total: $ 5,695 AccelDSP Flow MathWorks DSP Recommended Options Signal Processing Toolbox $ 800 Signal Processing Blockset $ 1,000 Communications Toolbox $ 1,000 Communications Blockset $ 1,000 AccelDSP $ 4,995 MATLAB $ 1,900 Total: $ 6,895 All tools require the Xilinx ISE software for implementation Prices shown are suggested list USD

44 What s Next 44 Contact your Avnet FAE Take home the software Evaluation copies of MATLAB/Simulink on your CDs Download Xilinx tools at 30 day trial of AccelDSP 60 day trial of System Generator Get evaluation boards Avnet bundles available for all X-Fest attendees Attend Avnet s Fall Speedway workshops

45 Appendix

46 XtremeDSP Device Portfolio 46 Spartan-DSP Virtex-DSP Spartan-3A DSP Virtex-4 SX Virtex-5 SXT 3SD1800A 3SD3400A V4VSX25 4VSX35 4VSX55 5VSX35T 5VSX50T 5VSX95T DSP Performance (GMAC/s) Max Block RAM Memory Bandwidth (Gbps) 1, , , , , , , ,325 2 Max DSP Frequency (MHz) XtremeDSP DSP48* Slices Min Footprint (mm) 19x19 19x19 27x27 27x27 27x27 27x27 27x27 27x27 Distributed RAM (Kb) ,520 Block RAM (Kb) 1,512 2,268 2,304 3,456 5,760 3,024 4,752 8,784 Logic Cells 37,440 53, ,040 34,560 55, , , ,208 High Speed Connectivity 227 x 622+ Mb/s LVDS pairs 213 x 622+ Mb/s LVDS pairs 120 x 1+ Gb/s LVDS pairs 224 x 1+ Gb/s LVDS pairs 360 x 1+ Gb/s LVDS pairs 180 x 1.25 Gb/s LVDS pairs, 8 x 3.2 Gb/s Transceivers 240 x 1.25 Gb/s LVDS pairs, 12 x 3.2 Gb/s Transceivers 320 x 1.25Gb/s LVDS pairs, 16 x 3.2 Gb/s Transceivers 1 In Slow Speed Grade 2 In Fast Speed Grade

47 DSP48 Comparison 47 Function DSP48 DSP48E DSP48A Benefit Multiplier 18 x x x 18 Reduces FPGA resource needs for DSP algorithms. Pre-Adder No No Yes Reduces the critical path timing in FIR filter applications better performance. Import in FIR filter construction. Cascade Inputs One Two One Enables fast data path chaining of DSP48 blocks for larger filters. Cascade Output Yes Yes Yes Enables fast data path chaining of DSP48 blocks for larger filters. Dedicated C input No Yes Yes The C input supports many 3-input mathematical functions, such as 3-input addition and 2- input multiplication with a single addition and the very valuable rounding of multiplication away from zero. Adder 3 input 48 bit 3 input 48 bit 2 input 48 bit Supports simple add and accumulate functions. Dynamic Opmodes Yes Yes Yes One DSP48 can now provide more than one function.. Multiply, Multiply-add, multiplyaccumulate etc. ALU Logic Functions No Yes No Similar to the ALU of a microprocessor. Enables the selection of ALU function on a clock cycle basis Enables multiple functions to be selected. (Add, Subtract, or Compare) Pattern Detect No Yes No This feature supports convergent rounding, underflow/overflow detection for saturation arithmetic, and auto-resetting counters/accumulators. SIMD ALU Support No Yes No Enables parallel ALU operations on multiple data sets. Carry Signals Carry In Carry In & Out Carry In & Out Supports fast carry functions between DSP blocks. Often a speed limiting path.

48 IP Support in CIC Compiler (Sept 2007) DDS Compiler FFT FIR Compiler RS Encoder/Decoder Viterbi v6.0 Convolutional Encoder Interleaver/Deinterleaver Multiplier BaseBlox Block Memory Generator Distributed Memory Generator FIFO Virtex-5 & Spartan-DSP v1.0 v1.1 v4.1 v3.0 v6.0 v6.0 v6.0 v5.0 V10 v9.1 v2.4 v3.3 v3.3

49 Avnet Virtex-5 LX Development Platform 49 Board features XC5VLX50-1FF676 16M x 32 DDR2 4M x 16 of Flash 10/100/1000 PHY 10-bit LVDS Rx and Tx Cypress USB 2.0 RS232 Serial Port EXP Expansion Slot Clocks Programmable LVDS 100 MHz LVTTL Oscillator LVTTL Oscillator Socket 32P Platform Flash JTAG Port BPI Configuration SystemACE interface

50 Point-to-Point Hardware Co-Simulation 50 Tri-mode point-to-point Ethernet Co-simulation Configuration over JTAG / Co-simulation over Ethernet HOST System Generator for DSP PPEthernetCosim Engine Userspace WinPcap Ethernet NIC Driver OS Kernel Ethernet NIC Dedicated Point -to-point Connection Ethernet PHY Ethernet TEMAC MAC Core Filter Ingress Egress Unit Unit BPF Filter FPGA Clock Generation Hardware In -the-loop Co -simulation BRAMs BRAMs Design Under Test Control FPGA Configuration Ethernet Co -simulation Command Processor Interface Command Processor Hardware Co-simulation Interface

Intro to System Generator. Objectives. After completing this module, you will be able to:

Intro to System Generator. Objectives. After completing this module, you will be able to: Intro to System Generator This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Explain why there is a need for an integrated

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Avnet Speedway Design Workshop

Avnet Speedway Design Workshop Accelerating Your Success Avnet Speedway Design Workshop Creating FPGA-based Co-Processors for DSPs Using Model Based Design Techniques Lecture 4: FPGA Co-Processor Architectures and Verification V10_1_2_0

More information

Simulink Design Environment

Simulink Design Environment EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 4 Simulink Design Environment Dejan Markovic dejan@ee.ucla.edu Announcements Class wiki Material being constantly updated Please

More information

Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design User Guide

Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design User Guide Spartan -6 LX150T Development Kit H/W Co-Simulation Reference Design User Guide Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design User Guide Version 0.8 Revision History Version

More information

Model-Based Design for Video/Image Processing Applications

Model-Based Design for Video/Image Processing Applications Model-Based Design for Video/Image Processing Applications The MathWorks Agenda Model-Based Design From MATLAB and Simulink to Altera FPGA Step-by-step design and implementation of edge detection algorithm

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features ML501 Purpose: General purpose FPGA development board. Board Part Number: HW-V5-ML501-UNI-G Device Supported: XC5VLX50FFG676 Price: $995 The ML501 is a feature-rich and low-cost evaluation/development

More information

Avnet Speedway Design Workshop

Avnet Speedway Design Workshop Accelerating Your Success Avnet Speedway Design Workshop Lecture 6: Summary V10_1_2_0 Avnet SpeedWay Workshops Model-Based Design Flow Develop Executable Spec in Simulink Design Exploration for Targeting

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

Modeling a 4G LTE System in MATLAB

Modeling a 4G LTE System in MATLAB Modeling a 4G LTE System in MATLAB Part 3: Path to implementation (C and HDL) Houman Zarrinkoub PhD. Signal Processing Product Manager MathWorks houmanz@mathworks.com 2011 The MathWorks, Inc. 1 LTE Downlink

More information

Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design Tutorial

Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design Tutorial Spartan -6 LX150T Development Kit H/W Co-Simulation Reference Design Tutorial Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design Tutorial Version 1.0 Revision History Version Description

More information

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions White Paper: Spartan-3 FPGAs WP212 (v1.0) March 18, 2004 DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions By: Steve Zack, Signal Processing Engineer Suhel Dhanani, Senior

More information

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio Hardware Implementation and Verification by -Based Design Workflow - Communication s to FPGA-based Radio Katsuhisa Shibata Industry Marketing MathWorks Japan 2015 The MathWorks, Inc. 1 Agenda Challenges

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

The Ultimate System Integration Platform. VIRTEX-5 FPGAs

The Ultimate System Integration Platform. VIRTEX-5 FPGAs The Ultimate System Integration Platform VIRTEX-5 FPGAs THE WORLD S FIRST 5nm FPGA One Family Multiple Platforms The Virtex -5 family of FPGAs offers a choice of four new platforms, each delivering an

More information

Xynergy It really makes the difference!

Xynergy It really makes the difference! Xynergy It really makes the difference! STM32F217 meets XILINX Spartan-6 Why Xynergy? Very easy: There is a clear Synergy achieved by combining the last generation of the most popular ARM Cortex-M3 implementation

More information

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ 1 P age Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ April 04, 2011 Getting Started 1. Where can I purchase a kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Connectivity kits online at: Spartan-6

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs New Directions in Programming FPGAs for DSP Dr. Jim Hwang Xilinx, Inc. Agenda Introduction FPGA DSP platforms Design challenges New programming models for FPGAs System Generator Getting your math into

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Getting Started Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your ML605 kit online at: http://www.xilinx.com/onlinestore/v6_boards.htm

More information

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks 2014 The MathWorks, Inc. 1 Traditional Implementation Workflow: Challenges Algorithm Development

More information

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board Shawki Areibi August 15, 2017 1 Introduction Xilinx System Generator provides a set of Simulink blocks

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering Winter/Summer Training Level 2 continues. 3 rd Year 4 th Year FIG-3 Level 1 (Basic & Mandatory) & Level 1.1 and

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage silage@temple.edu ECE Temple University www.temple.edu/scdl Signal Processing Algorithms into Fixed Point FPGA Hardware Motivation

More information

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

FPGA Implementation and Validation of the Asynchronous Array of simple Processors FPGA Implementation and Validation of the Asynchronous Array of simple Processors Jeremy W. Webb VLSI Computation Laboratory Department of ECE University of California, Davis One Shields Avenue Davis,

More information

Impulse Embedded Processing Video Lab

Impulse Embedded Processing Video Lab C language software Impulse Embedded Processing Video Lab Compile and optimize Generate FPGA hardware Generate hardware interfaces HDL files ISE Design Suite FPGA bitmap Workshop Agenda Step-By-Step Creation

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

UCT Software-Defined Radio Research Group

UCT Software-Defined Radio Research Group UCT Software-Defined Radio Research Group UCT SDRRG Team UCT Faculty: Alan Langman Mike Inggs Simon Winberg PhD Students: Brandon Hamilton MSc Students: Bruce Raw Gordon Inggs Simon Scott Joseph Wamicha

More information

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to:

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to: Basic Xilinx Design Capture This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List various blocksets available in System

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_MIXED) November 26, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

Parallel FIR Filters. Chapter 5

Parallel FIR Filters. Chapter 5 Chapter 5 Parallel FIR Filters This chapter describes the implementation of high-performance, parallel, full-precision FIR filters using the DSP48 slice in a Virtex-4 device. ecause the Virtex-4 architecture

More information

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall 2009-10 AccelDSP Getting Started Tutorial Introduction This tutorial exercise will guide you through the process of

More information

SOFTWARE DEFINED RADIO

SOFTWARE DEFINED RADIO SOFTWARE DEFINED RADIO USR SDR WORKSHOP, SEPTEMBER 2017 PROF. MARCELO SEGURA SESSION 1: SDR PLATFORMS 1 PARAMETER TO BE CONSIDER 2 Bandwidth: bigger band better analysis possibilities. Spurious free BW:

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_PIPE) Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com Core Facts Documentation

More information

Enabling success from the center of technology. Interfacing FPGAs to Memory

Enabling success from the center of technology. Interfacing FPGAs to Memory Interfacing FPGAs to Memory Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

DSP Resources. Main features: 1 adder-subtractor, 1 multiplier, 1 add/sub/logic ALU, 1 comparator, several pipeline stages

DSP Resources. Main features: 1 adder-subtractor, 1 multiplier, 1 add/sub/logic ALU, 1 comparator, several pipeline stages DSP Resources Specialized FPGA columns for complex arithmetic functionality DSP48 Tile: two DSP48 slices, interconnect Each DSP48 is a self-contained arithmeticlogical unit with add/sub/multiply/logic

More information

User Manual for FC100

User Manual for FC100 Sundance Multiprocessor Technology Limited User Manual Form : QCF42 Date : 6 July 2006 Unit / Module Description: IEEE-754 Floating-point FPGA IP Core Unit / Module Number: FC100 Document Issue Number:

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ Spartan-6 and Virtex-6 FPGA FAQ February 5, 2009 Getting Started 1. Where can I purchase an Embedded kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Embedded kits online at: Spartan-6 FPGA :

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

English Japanese

English   Japanese Spartan -6 FPGA Consumer Video Kit FAQ General Questions: Q: What is the Spartan -6 FPGA Consumer Video Kit? A: The Spartan-6 FPGA Consumer Video Kit (CVK) consists of a Spartan-6 LX150T base board, four

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs P. Banerjee Department of Electrical and Computer Engineering Northwestern University 2145 Sheridan Road, Evanston, IL-60208 banerjee@ece.northwestern.edu

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

How to Efficiently Implement Flexible and Full-Featured Digital Radio Solutions Using All Programmable SoCs

How to Efficiently Implement Flexible and Full-Featured Digital Radio Solutions Using All Programmable SoCs Delivering a Generation Ahead How to Efficiently Implement Flexible and Full-Featured Digital Radio Solutions Using All Programmable SoCs Agenda Introduction to Mobile Network Introduction to Xilinx Solution

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary

Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary Abstract 1553 bus is a military avionic bus that describes the mechanical, electrical

More information

Virtex-5 GTP Aurora v2.8

Virtex-5 GTP Aurora v2.8 0 DS538 October 10, 2007 0 0 Introduction The Virtex -5 GTP Aurora core implements the Aurora protocol using the high-speed serial GTP transceivers in Virtex-5 LXT and SXT devices. The core can use up

More information

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB The Benefits of FPGA-Enabled Instruments in RF and Communications Test Johan Olsson National Instruments Sweden AB 1 Agenda Introduction to FPGAs in test New FPGA-enabled test applications FPGA for test

More information

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen Compute Node Design for DAQ and Trigger Subsystem in Giessen Justus Liebig University in Giessen Outline Design goals Current work in Giessen Hardware Software Future work Justus Liebig University in Giessen,

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

High Speed Data Transfer Using FPGA

High Speed Data Transfer Using FPGA High Speed Data Transfer Using FPGA Anjali S S, Rejani Krishna P, Aparna Devi P S M.Tech Student, VLSI & Embedded Systems, Department of Electronics, Govt. Model Engineering College, Thrikkakkara anjaliss.mec@gmail.com

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Introduction to C and HDL Code Generation from MATLAB

Introduction to C and HDL Code Generation from MATLAB Introduction to C and HDL Code Generation from MATLAB 이웅재차장 Senior Application Engineer 2012 The MathWorks, Inc. 1 Algorithm Development Process Requirements Research & Design Explore and discover Design

More information

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design By Nasser Poureh, Avnet Technical Marketing Manager Mohammad Qazi, Maxim Application Engineer, SP&C Version 1.0 August 2010 1

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

Optimize DSP Designs and Code using Fixed-Point Designer

Optimize DSP Designs and Code using Fixed-Point Designer Optimize DSP Designs and Code using Fixed-Point Designer MathWorks Korea 이웅재부장 Senior Application Engineer 2013 The MathWorks, Inc. 1 Agenda Fixed-point concepts Introducing Fixed-Point Designer Overview

More information

Full Linux on FPGA. Sven Gregori

Full Linux on FPGA. Sven Gregori Full Linux on FPGA Sven Gregori Enclustra GmbH FPGA Design Center Founded in 2004 7 engineers Located in the Technopark of Zurich FPGA-Vendor independent Covering all topics

More information

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개 [Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개 정승혁과장 Senior Application Engineer MathWorks Korea 2015 The MathWorks, Inc. 1 Outline When FPGA, ASIC, or System-on-Chip (SoC) hardware is needed Hardware

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

New Software-Designed Instruments

New Software-Designed Instruments 1 New Software-Designed Instruments Nicholas Haripersad Field Applications Engineer National Instruments South Africa Agenda What Is a Software-Designed Instrument? Why Software-Designed Instrumentation?

More information

Introduction to DSP/FPGA Programming Using MATLAB Simulink

Introduction to DSP/FPGA Programming Using MATLAB Simulink دوازدهمين سمينار ساليانه دانشكده مهندسي برق فناوری های الکترونيک قدرت اسفند 93 Introduction to DSP/FPGA Programming Using MATLAB Simulink By: Dr. M.R. Zolghadri Dr. M. Shahbazi N. Noroozi 2 Table of main

More information

CONTACT: ,

CONTACT: , S.N0 Project Title Year of publication of IEEE base paper 1 Design of a high security Sha-3 keccak algorithm 2012 2 Error correcting unordered codes for asynchronous communication 2012 3 Low power multipliers

More information

AccelDSP Synthesis Tool

AccelDSP Synthesis Tool AccelDSP Synthesis Tool Release Notes R R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface

More information

PXIe FPGA board SMT G Parker

PXIe FPGA board SMT G Parker Form : QCF51 Date : 6 July 2006 PXIe FPGA board SMT700 1.5 20 th November 2009 G Parker Sundance Multiprocessor Technology Ltd, Chiltern House, Waterside, Chesham, Bucks. HP5 1PS. This document is the

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

PMC-440 ProWare FPGA Module & ProWare Design Kit

PMC-440 ProWare FPGA Module & ProWare Design Kit PMC-440 ProWare FPGA Module & ProWare Design Kit FPGA I/O Interfacing and DSP Pre-Processing PMC Module and Design Kit Features Xilinx Virtex-II Pro TM Platform FPGA (XC2VP20 or XC2VP40) 64-bit, 66MHz

More information

HPE720. Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card. Data Sheet

HPE720. Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card. Data Sheet Data Sheet HPE720 Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card Applications Signal Intelligence (SIGINT) Image Processing Electronic Warfare (EW) Radar Processing Features FPGA and Power Architecture

More information

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Thorsten Jungeblut 1, Johannes Ax 2, Gregor Sievers 2, Boris Hübener 2, Mario Porrmann 2, Ulrich Rückert 1 1 Cognitive

More information

UWB PMC/XMC I/O Module

UWB PMC/XMC I/O Module UWB PMC/XMC I/O Module 2 Ch. Ultra-Wide-Band Receiver 25 MSPS A/Ds Large FPGA for User Code Deep memory Features Two LTC222-2, 2-bit 25MSPS converters 3MHz analog input bandwidth Support for undersampling

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

FPGA Co-Processing Architectures for Video Compression

FPGA Co-Processing Architectures for Video Compression Co-Processing Architectures for Compression Overview Alex Soohoo Altera Corporation 101 Innovation Drive San Jose, CA 95054, USA (408) 544-8063 asoohoo@altera.com The push to roll out high definition video

More information

A Model-based Embedded Control Hardware/Software Co-design Approach for Optimized Sensor Selection of Industrial Systems

A Model-based Embedded Control Hardware/Software Co-design Approach for Optimized Sensor Selection of Industrial Systems A Model-based Embedded Control Hardware/Software Co-design Approach for Optimized Sensor Selection of Industrial Systems Kyriakos M. Deliparaschos, Konstantinos Michail, Spyros G. Tzafestas, Argyrios C.

More information

Chapter 5 Embedded Soft Core Processors

Chapter 5 Embedded Soft Core Processors Embedded Soft Core Processors Coarse Grained Architecture. The programmable gate array (PGA) has provided the opportunity for the design and implementation of a soft core processor in embedded design.

More information

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Overview ß Embedded Design Challenges ß Xilinx Embedded Platforms for Embedded Processing ß Introducing Spartan-6 and Virtex-6 FPGA Embedded Kits

More information

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers

More information

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change Advanced Information Subject To Change XMC-RFSOC-A XMC Module Xilinx Zynq UltraScale+ RFSOC Overview PanaTeQ s XMC-RFSOC-A is a XMC module based on the Zynq UltraScale+ RFSoC device from Xilinx. The Zynq

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

Implementing Video and Image Processing Designs Using FPGAs. Click to add subtitle

Implementing Video and Image Processing Designs Using FPGAs. Click to add subtitle Implementing Video and Image Processing Designs Using FPGAs Click to add subtitle Agenda Key trends in video and image processing Video and Image Processing Suite Model-based design for video processing

More information

High-Performance Integer Factoring with Reconfigurable Devices

High-Performance Integer Factoring with Reconfigurable Devices FPL 2010, Milan, August 31st September 2nd, 2010 High-Performance Integer Factoring with Reconfigurable Devices Ralf Zimmermann, Tim Güneysu, Christof Paar Horst Görtz Institute for IT-Security Ruhr-University

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information