BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

Size: px
Start display at page:

Download "BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY"

Transcription

1 BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY Semiconductor Link Processing & Ultra-Thin Semi Wafer Dicing Louis Vintro VP & General Manager, Semiconductor Products Division

2 Semiconductor Link Processing Enabling DRAM Memory Production As memory fabs ramp up pre-repair chip yield is <20% ESI systems process fuse links and repair wafers After laser repair, yield is typically >99.5% Redundancy & breakable fuse link banks to improve yield Fuse Link Blowing Size: 0.5 1µm Accuracy: 0.15µm Rate: 150,000/sec Multiple layers 31

3 Semiconductor Link Processing Leading in DRAM Memory Repair DRAM bit demand explosion driven by PC & smarter electronics Memory repair rebounding with overcapacity ending ESI gaining share with pioneering technology Market size $50-$150M 32

4 Semiconductor Link Processing Smarter Electronics Driving Market Growth Increasing demand for memory repair tools Increasing # of wafer starts ~ 25% YoY With smarter electronics Growing memory bit demand ~ 50% YoY Increasing # of fuses per wafer 33

5 Semiconductor Link Processing Smarter Electronics Driving Exploding Bit Growth Electronics Market Drivers Memory Bit & Wafer Start Growth Laptops Servers Netbooks YoY Growth 17% 24% 27% + DRAM/box ~30% YoY lions 1Gb equiv) Bit Shipments (Mill 60,000 50,000 40,000 30,000 20,000 10,000 Wafer Starts ~25% YOY DRAM Bits ~ 50% YOY 30,000 25,000 20,000 15,000 10,000 5,000 Wafer Starts (thous sands of wafers) Smartphones 30% - 0 Source: Gartner 8/10 34

6 Semiconductor Link Processing Multiple Factors Drive Tool Demand Increase in Wafer Starts Capacity expansion New fabs, new lines Demand for Laser Repair Tools Node Shrink Increase tool processing time New Nodes New fuse designs and materials Installed base obsolescence 35

7 Semiconductor Link Processing Memory Capex is Rebounding off Historic Lows DRAM Capital Spending 25,000 20,000 15,000 10,000 5, E 6-9 months lag for memory repair CAPEX Renewed order flow for laser repair as overcapacity ends 36

8 Semiconductor Link Processing Gaining Share at Top DRAM Manufacturers 2 out of 3 customers ordering 3 rd planning new fab in CY11 Elpida Press Release (June 2009) ESI's New 9850 Tailored Pulse Dual-Beam Laser System For 68nm DRAM Repair Was Qualified by TeraProbe Hynix Press Release (Oct 2010) Hynix Places Large Volume Order for ESI s 9850TPIR + Samsung Press Release (May 2010) Samsung Raises 2010 Investment Plan to Record 26 Trillion Won [ ] New Line-16 for DRAM, NAND Flash and next-gen memory products in Hwaseong, Unrivalled customer relationships and support infrastructure 37

9 Semiconductor Link Processing Leading through Pioneering Technology ESI leads in addressing technology challenges from ever more complex fuse bank designs & advanced materials laser laser Smaller pitch of fuse links Increasing aspect ratio of fuse links laser Introduction of lower-k dielectrics Al to Cu fuse wiring transition Introduction of variable-thickness oxide layers Fuse bank cross- section 38

10 Semiconductor Link Processing Gaining Share through Pioneering Technology Differentiating with IP-protected Tailored Pulse process technology Unique capability Increased productivity Extendible from IR to Green wavelength Gaining share with key customers Maintaining laser fuse as process of record at top three manufacturers Delivering >99.5% memory repair yield Yield differential of even 1% directly impacts business bottom line Best-in-class patented laser technology for ever more complex laser processing 39

11 Semiconductor Link Processing Leading in DRAM Memory Repair Leveraging pioneering laser repair technology Gaining share at top DRAM manufacturers Meeting exploding DRAM bit demand driven by smarter electronics Expect tool market to return to pre-downturn levels by

12 Thin Wafer Dicing Enabling Transition to Ultra-Thin Wafers In packaging - wafers are diced into individual die Laser-based ESI systems dice ultra-thin wafers along the wafer streets Individual die are picked for downstream packaging 3D stacking is driving <50um thick wafers - Traditional mechanical saw NOT viable ESI s laser-based dicing is disruptive technology enabling transition to ultra-thin wafers 41

13 Thin Wafer Dicing ESI Capturing Ultra-Thin Wafer Dicing Emerging adjacent market with expected high growth Driven by thinning of wafers for 3D packaging Ultra-thin wafer starts projected to be fastest growth segment in the industry Market projected to be $80M by CY13 No viable solution for singulation has been available ESI unique laser-process provides breakthrough in dicing Flexible platform for scribe, dice and select Through-Silicon Via applications Model 9900 in evaluation at multiple customers 42

14 Thin Wafer Dicing 3D Packaging Driving Thinning of Wafers NAND Flash 3D packaging driving thinning trend MicroSD cards growing with mobile devices 16Gb Solid State Device Solid State Drives compete with hard disks DRAM 3D packaging close behind Saves power and real estate in mobile applications And logic/mems 3D packaging 3D interconnection requires laser processing of TSV for stacking of MEMS/LED and driver IC s Smaller die require smaller cuts 4Gb (8 x 512Mb) chip stack DRAM and CPU stack Source : Chipworks 43

15 Thin Wafer Dicing Ultra-Thin Wafer Segment Fastest Growing Thin (<100um) vs Ultra-Thin (<50um) wafers 8,000 7,000 SAM Ultra - Thin - Sub Wafers < 50um TAM Thin Wafers - Sub < 100um 100um Wafer # (Thou usands) 6,000 5,000 4,000 3,000 2,000 1,000 Ultra-Thin Wafer Segment Source: TechMart Ultra-thin wafer dicing tool market expected to grow to $80M by CY13 44

16 Thin Wafer Dicing Disruptive Technology vs Mechanical Saw Traditional mechanical saw NOT viable for <50um wafers 60,000 rpm Mechanical Saw Run rate (w wafers per hour) 15 WPH Technology Disruption 1-2 WPH + chipping issues Wafer 75 µm 50 µm 25 µm Wafer Thickness Wafer flexes during dicing causing chipping and other issues Opportunity - Replace mechanical saw with laser-based solution for production worthy dicing of <50um thick wafers 45

17 Thin Wafer Dicing Pioneering Ultra-Thin Wafer Dicing Patented optical beam positioning technology for high speed Patented zero overlap technology for faster material removal with lower heat damage Integrates proprietary post-processing to ensure >99% yield dicing/picking Platform extendibility for advanced packaging applications Scribe Dice Scribe & Dice TSV 9900 provides pioneering dicing technology with equal or better process results as traditional saw at 3-5x the speed! 46

18 Thin Wafer Dicing Achieving Leadership in Ultra-Thin Wafer Dicing Pioneering a patent-protected laser singulation technology Meeting market need for ultra-thin wafer dicing for 3D packaging Model 9900 in evaluation at multiple customers Flexible platform enables 3D packaging is key imperative for our memory customers Achieving leadership in adjacent market with expected high growth 47

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Setting the Test Standard for Tomorrow. Nasdaq: AEHR

Setting the Test Standard for Tomorrow. Nasdaq: AEHR Setting the Test Standard for Tomorrow Nasdaq: AEHR Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties relating to projections regarding

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Kaufman Brothers 13 th Annual Investor Conference

Kaufman Brothers 13 th Annual Investor Conference Kaufman Brothers 13 th Annual Investor Conference Craig DeYoung, VP Investor Relations New York, New York September 14, 21 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities

More information

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO B. Riley & Co. 16 th Annual Investor Conference Jeffrey Andreson, CFO May 2015 Forward-Looking Statements This communication contains forward-looking statements within the meaning of the safe harbor provisions

More information

Thermal Considerations in Package Stacking and Advanced Module Technology

Thermal Considerations in Package Stacking and Advanced Module Technology Thermal Considerations in Package Stacking and Advanced Module Technology Ulrich Hansen, Director of Marketing, Staktek February 16, 2006 Continued drive to increase sub-system density, functionality and

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

2012 NAND Flash Outlook

2012 NAND Flash Outlook 2012 NAND Flash Outlook -IN NAND WE TRUST- Sean Yang June 7 th, 2012 Agenda Overview for 2012 NAND Flash Market NAND Flash Supply Side Status NAND Flash Demand Side Status Smartphone, Tablet and Ultrabook

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Innovation Leadership in Expanding Markets

Innovation Leadership in Expanding Markets Innovation Leadership in Expanding Markets Gary Dickerson CEO and President SEPTEMBER 27, 2017 External Use Forward-Looking Statements and Other Information This presentation contains forward-looking statements,

More information

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager Industry Research & Statistics, SEMI

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager Industry Research & Statistics, SEMI Fab Investment Outlook Foundry, Memory and LED Clark Tseng, Sr. Research Manager Industry Research & Statistics, SEMI Outline Fab Investment & Capacity Trend Memory and Foundry Investment Outlook LED Investment

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214 Fab Investment Outlook Foundry, Memory and LED Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214 Outline Fab Investment & Capacity Trend Memory and Foundry

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Green Memory Solution. Jung-Bae Lee

Green Memory Solution. Jung-Bae Lee Green Memory Solution Jung-Bae Lee Contents Introduction Data Explosion and Data Center Expansion Data Center Issues: Power & Performance Samsung Green Memory Solution History of Green Memory Memory &

More information

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications UBS Global Technology and Services Conference New York City Craig DeYoung VP, Investor Relations & Corporate Communications June 9, 2009 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private

More information

Transforming Electronic Interconnect. Tim Olson Founder & CTO Deca Technologies

Transforming Electronic Interconnect. Tim Olson Founder & CTO Deca Technologies Transforming Electronic Interconnect Tim Olson Founder & CTO Deca Technologies Changing Form X-ray images courtesy of Nick Veasey & flickr.com Shipments in millions Changing Form Smartphone Sales Have

More information

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013 Leading the 10Gig Wave Jefferies 2013 Global Technology Conference May 7, 2013 Company Snapshot Market Leader in 10GE for Big Data & Enterprise Aquantia has shipped 2 Million 10GBASE-T ports Fabless semiconductor

More information

Samsung Electronics Announces Third Quarter 2017 Results

Samsung Electronics Announces Third Quarter 2017 Results Samsung Electronics Announces Third Quarter 2017 Results Registers net profit of KRW 11.19 trillion on sales of KRW 62.05 trillion 3Q consolidated operating profit reaches KRW 14.53 trillion SEOUL, Korea

More information

Samsung Electronics Announces First Quarter Results

Samsung Electronics Announces First Quarter Results Samsung Electronics Announces First Quarter Results Registers net profit of KRW 7.68 trillion on sales of KRW 50.55 trillion 1Q consolidated operating profit reaches KRW 9.90 trillion SEOUL, Korea April

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO November 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

Credit Suisse European Technology Conference

Credit Suisse European Technology Conference Credit Suisse European Technology Conference Franki D Hoore Director European Investor Relations May 12, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform

More information

Piper Jaffray Europe Conference London

Piper Jaffray Europe Conference London Piper Jaffray Europe Conference London Franki D Hoore Director Investor Relations June 22, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995:

More information

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMICON Korea Press Conference January 2018 Dan Tracy, Sr. Director SEMI Industry Research & Statistics Agenda 2017 Wrap Up 2018 Semiconductor

More information

Wire Bonding Solutions for Complex Memory Packages. John Foley Kulicke & Soffa Industries

Wire Bonding Solutions for Complex Memory Packages. John Foley Kulicke & Soffa Industries Wire Bonding Solutions for Complex Memory Packages John Foley Kulicke & Soffa Industries Growth in the Memory Market Segment DRAM Unit Shipment Forecast (M units) By Density 2014 2015 2016 2017 2018 2019

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Samsung Electronics Announces Fourth Quarter & FY 2017 Results

Samsung Electronics Announces Fourth Quarter & FY 2017 Results Samsung Electronics Announces Fourth Quarter & FY 2017 Results Registers 4Q net profit of KRW 12.26 trillion on sales of KRW 65.98 trillion 4Q consolidated operating profit reaches KRW 15.15 trillion SEOUL,

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Comparison of Singulation Techniques

Comparison of Singulation Techniques Comparison of Singulation Techniques Electronic Packaging Society, Silicon Valley Chapter Sept. 28, 2017 ANNETTE TENG Sept 28, 2017 1 Definition of Singulation 9/28/2017 Annetteteng@promex-ind.com 2 www.cpmt.org/scv

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young VP Investor Relations and Corporate Communications March

More information

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D X-ray Microscopy Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality NORTH CORPORATION Development of IC Packaging Components Enabling Increasing Product Functionality I. Bump Interconnection (NMBI) Business PWB technology shift toward increased circuit layer count and

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

FY2011 Financial Forecast and Basic Management Policy

FY2011 Financial Forecast and Basic Management Policy FY2011 Financial Forecast and Basic Management Policy Hiroshi Takenaka, President & CEO May 12, 2010 25 FY2010 Review 26 FY2010 Initial Financial Estimates vs. Results (Billions of yen) Net sales Initial

More information

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014 Market Update Peter Jenkins Vice President, Marketing 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping Yuan-Ping Tseng/ An-Hong Liu TD center ChipMOS Technologies Inc. June 5, 2001 1

More information

Worldwide Memory Forecast, 1Q03 (Executive Summary) Executive Summary

Worldwide Memory Forecast, 1Q03 (Executive Summary) Executive Summary Worldwide Memory Forecast, 1Q03 (Executive Summary) Executive Summary Publication Date: 13 March 2003 Authors Richard Gordon Andrew Norwood This document has been published to the following Marketplace

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Packaging Challenges for High Performance Mixed Signal Products Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Content HPMS introduction Assembly technology drivers for

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Outline Key technologies for future CMOS imagers Bottlenecks for high speed imaging Our proposal Take home message Oct 12, 2017 Photon-to-Photon

More information

DRAM Market Outlook: Worldwide Change in DRAM Underway as Capacitor Scaling Reaches Limit (Based on May MI Update / 2Q14 Diamond)

DRAM Market Outlook: Worldwide Change in DRAM Underway as Capacitor Scaling Reaches Limit (Based on May MI Update / 2Q14 Diamond) 2014-15 DRAM Market Outlook: Worldwide Change in DRAM Underway as Capacitor Scaling Reaches Limit (Based on May MI Update / 2Q14 Diamond) DRAMeXchange Memory & Storage Division Jun. 5th, 2014 Agenda Supply

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Memory Industry Dynamics & Market Drivers Memory Market Segments Memory Products Dynamics Memory Status 2007 Key Challenges for 2008 Future Trends

Memory Industry Dynamics & Market Drivers Memory Market Segments Memory Products Dynamics Memory Status 2007 Key Challenges for 2008 Future Trends TOC Memory Industry Dynamics & Market Drivers Memory Market a significant portion of Semi business Memory Market Forecast Industry Dynamics & Impact on Memory Market Key drivers for Memory growth Memory

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Memory Industry Report T A B L E O F C O N T E N T S

Memory Industry Report T A B L E O F C O N T E N T S Memory Industry Report T A B L E O F C O N T E N T S Executive Summary 1 MEMORY INDUSTRY DYNAMICS & MARKET DRIVERS...3 Memory Market a significant portion of Semi business...3 Memory Market Forecast...3

More information

Flash Market Current & Future

Flash Market Current & Future Flash Market Current & Future Jim Handy OBJECTIVE ANALYSIS OBJECTIVE ANALYSIS Profound Analysts Reports & Services Custom Consulting Objective Analysis Semiconductor Forecast Accuracy Year Forecast Actual

More information

Nanya Technology. WATERLAND Investment Forum Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman

Nanya Technology. WATERLAND Investment Forum Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman Nanya Technology WATERLAND Investment Forum 2017 Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman Safe Harbor The information herein and the presentation made

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND 1 iphone 5 2 WiFi Front End in iphone 5 3 Broadcom BCM4334 inside

More information

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM SEMICON Taipei SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM Joe O Hare, Marketing Director Sanjeev Aggarwal, Ph.D., VP Manufacturing & Process Everspin Company Highlights

More information

Semiconductor Manufacturing Market Outlook: Fundamentals Point to Growth

Semiconductor Manufacturing Market Outlook: Fundamentals Point to Growth Semiconductor Manufacturing Market Outlook: Fundamentals Point to Growth 3Q03 Semiconductor and Electronics Manufacturing Forecast San Jose, California 8 July 2003 Presenters: Klaus-Dieter Rinnen Mary

More information

Ushering in the 3D Memory Era with V- NAND

Ushering in the 3D Memory Era with V- NAND Ushering in the 3D Memory Era with V- NAND Aug. 2013 Jim Elliott (Vice President, Memory Marketing) E.S. Jung (EVP/GM, Semiconductor R&D Center) Flash Memory Summit 2013 Santa Clara, CA 1 Legal Disclaimer

More information

Solid State Drives Leading the Innovation Curve

Solid State Drives Leading the Innovation Curve Solid State Drives Leading the Innovation Curve Don Barnetson SanDisk Corp. Achieve More. March 9 th, 2009 1 Forward Looking Statements During our meeting and presentation today we will be making forwardlooking

More information

Data Center Engineering Acceleration Efficiency Interoperability HCL ERS DATA CENTER ENGINEERING SERVICES

Data Center Engineering Acceleration Efficiency Interoperability HCL ERS DATA CENTER ENGINEERING SERVICES Data Center Engineering Acceleration Efficiency Interoperability HCL ERS DATA CENTER ENGINEERING SERVICES Large resource base with hands - on expertise in Storage technology Dedicated CoEs for VLSI, System

More information

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1. EE 330 Homework 1 Fall 2016 Due Friday Aug 26 Problem 1 Assume a simple circuit requires 1,000 MOS transistors on a die and that all transistors are minimum sized. If the transistors are fabricated in

More information

SSD Technology and Application Trend. Samsung Semiconductor Inc. Tony Kim Oct. 2010

SSD Technology and Application Trend. Samsung Semiconductor Inc. Tony Kim Oct. 2010 SSD Technology and Application Trend Samsung Semiconductor Inc. Tony Kim Oct. 2010 Mainstream SSD, it s matter of time SSD Market will surge significantly when it comes to ~$100 price gap against HDD The

More information

24th Annual ROTH Conference

24th Annual ROTH Conference 24th Annual ROTH Conference Ralph Schmitt March 2012 Silicon without Software is Just Sand 1 Safe Harbor Provision Certain statements in this presentation which are not historical facts may constitute

More information

2010 UBS Global Technology and Services Conference

2010 UBS Global Technology and Services Conference 2010 UBS Global Technology and Services Conference Eric Meurice CEO New York, New York June 8, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of

More information

Thomas Seifert Senior Executive Vice President and General Manager, Memory Products Group

Thomas Seifert Senior Executive Vice President and General Manager, Memory Products Group 2005 Infineon 2005-03-17-1 - March 17th, 2005 Paris Memory Products Group Senior Executive Vice President and General Manager, Memory Products Group Disclaimer Please note that while you are reviewing

More information

Signal Processing IP for a Smarter, Connected World. May 2017

Signal Processing IP for a Smarter, Connected World. May 2017 Signal Processing IP for a Smarter, Connected World May 2017 Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties, as well as assumptions

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior vice president, Technology & Manufacturing 27 in review Manufacturing strategy continues to deliver financial results Accelerating analog leadership Increased

More information

When Less Is More: Bigger & Faster Memory in Shrinking Packages for the Mobile Market

When Less Is More: Bigger & Faster Memory in Shrinking Packages for the Mobile Market When Less Is More: Bigger & Faster Memory in Shrinking Packages for the Mobile Market Kathy Choe Thomas, Flash Product Mktg Samsung Semiconductor, Inc. Living in the Connected World Like it or Not, We

More information

SEMICO Res e arch Corporation

SEMICO Res e arch Corporation SEMICO Res e arch Corporation Industry Review 2000 March 31, 2000 Bob Merritt Macro Economics US economy continues to gain Strength GDP +4.5% Japan stalls after a slight recovery in 1999 GDP +0.9% Korean

More information

Nanya Technology DRAM Environment & Company Update

Nanya Technology DRAM Environment & Company Update Nanya Technology DRAM Environment & Company Update Presentation to Investors and Analysts 21 June 2016 Joseph Wu, AVP & Deputy Spokesman June 21, 2016 2016 Nanya Technology Corp. Safe Harbor The information

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation 1 Contents DRAM Packaging Paradigm Dual-Face-Down (DFD) Package DFD-based 4R 8GB RDIMM Invensas xfd Technology Platform

More information

Samsung Electronics. 10 th KRX Global Investors Conference -1 -

Samsung Electronics. 10 th KRX Global Investors Conference -1 - Samsung Electronics 10 th KRX Global Investors Conference June 2007-1 - Corporate Overview -2 - Business Portfolio TV N-PC DVD/STB Printer Memory System LSI Storage Home Theater Monitor Digital Media 27%

More information

FBR Capital Markets 12 th Annual Spring Investor Conference

FBR Capital Markets 12 th Annual Spring Investor Conference FBR Capital Markets 12 th Annual Spring Investor Conference ASML continues to execute its leadership strategy Craig De Young VP Investor Relations and Corporate Communications New York City - May 28-29,

More information

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT 2010 Invited Speaker ARCHIVE 2010 RISING TO THE 3D TSV TEST CHALLENGE: WILL YOU BE READY? by Françoise von Trapp Editorial Director 3D InCites 3D ABSTRACT integration is not a novel concept. Veterans in

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

White Paper. Samsung V-NAND. Yield more capacity, performance and power efficiency

White Paper. Samsung V-NAND. Yield more capacity, performance and power efficiency White Paper Samsung V-NAND Yield more capacity, performance and power efficiency Stay abreast of increasing data demands with Samsung's innovative vertical architecture Introduction There continues to

More information

SanDisk Overview. Mike Chenery Senior Fellow. 5th Annual Needham HDD & Memory Conference Boston, MA. November 3, 2011

SanDisk Overview. Mike Chenery Senior Fellow. 5th Annual Needham HDD & Memory Conference Boston, MA. November 3, 2011 SanDisk Overview Mike Chenery Senior Fellow 5th Annual Needham HDD & Memory Conference Boston, MA November 3, 2011 Forward-looking Statement During our meeting today we will be making forward-looking statements.

More information

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager Cutting Power Consumption in HDD Electronics Duncan Furness Senior Product Manager Situation Overview The industry continues to drive to lower power solutions Driven by: Need for higher reliability Extended

More information

Samsung Electronics Announces Fourth Quarter & FY 2015 Results

Samsung Electronics Announces Fourth Quarter & FY 2015 Results Samsung Electronics Announces Fourth Quarter & FY 2015 Results SEOUL, Korea January 28, 2016 Samsung Electronics announced financial results for the fourth quarter ended December 31, 2015. Samsung posted

More information

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011 UBS Technology Conference Franki D Hoore - Director European Investor Relations London, March 10, / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior Vice President Technology and Manufacturing Group Development & Manufacturing Strategy Process Technology Leadership Flexible Development Options Internal

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

China and Global Semiconductor Industry Update and Outlook

China and Global Semiconductor Industry Update and Outlook China and Global Semiconductor Industry Update and Outlook Randy Bane Managing Director Corporate Marketing Applied Materials CSIS/US-Taiwan Business Council Conference May 27, 2004 Top 15 Worldwide Semiconductor

More information