Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters

Size: px
Start display at page:

Download "Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters"

Transcription

1 Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters ARITH 2016 Silicon Valley July 10-13, 2016 Michael Schaffner 1 Michael Gautschi 1 Frank K. Gürkaynak 1 Prof. Luca Benini 1,2 1 2 Università di Bologna

2 Advanced Processing in IoT Sense Analyze and Classify Transmit Low Power Processing System Complex preprocessing close to sensor, e.g.: Feature extraction, regression, classification, compression, sensor fusion 2

3 Arithmetic with High Dynamic Range (HDR) Desirable Low Power Processing System 100 µw - 2 mw Fixed-Point 1-10 mw Idle: ~1µW Active: ~ 50mW Fixed-point: labor intensive, error-prone, quality losses 3

4 Arithmetic with High Dynamic Range (HDR) Desirable Low Power Processing System 100 µw - 2 mw HDR Arithmetic 1-10 mw Idle: ~1µW Active: ~ 50mW Fixed-point: labor intensive, error-prone, quality losses Energy-efficient, low-cost HDR arithmetic desirable 4

5 Logarithmic Number System (LNS) FP: integer exponent FP: integer mantissa Efficient MUL, DIV, SQRT c = log 2 (2 a */ 2 b ) = log 2 (2 a ± b ) = a ± b c = log 2 (sqrt(2 a )) = log 2 (2 0.5a ) = 0.5a = a >> 1 Simple integer operations! Nonlinear ADD, SUB, I2F, F2I LNS: fixed-point exponent function interpolator large LNS unit (LNU) 5

6 Precision & Approximation Bilateral filter example: LNS 8.23 (0.5ulp) precise LNS 8.17 (16 ulp) approximate Error tolerant applications Full precision not always required Additional tuning knob 6

7 Contributions Generator framework for automatic generation of precise (0.5ulp) and approximate (> 0.5ulp) LNU instances. Design space exploration of precise / approximate LNUs. 33%-71% smaller LNU (precise) with more functionality than previous designs [8,9,27]. Case study: accuracy/performance tradeoffs of a shared LNU in a 65nm CMOS multicore cluster. [8] J.N. Coleman et al. "The European Logarithmic Microprocessor" IEEE TC, 2008 [9] R.C. Ismail et al. "ROM-less LNS" IEEE ARITH, 2011 [27] M. Gautschi, M. Schaffner, F.K. Gürkaynak, L. Benini, ISSCC

8 Problematic LNS Additions/Subtractions C=A ± B with A = 2 a, B = 2 b, C = 2 c Easy case (ADD): c = log 2 (2 a + 2 b ) = max(a,b) + f + ( a-b ) Hard case (SUB): c = log 2 (2 a - 2 b ) = max(a,b) + f - ( a-b ) critical region 8

9 Critical Region Decomposition Analytic transformation of f - into subfunctions Literature: Coleman (1995) [5] Arnold (1998) [4] Vouzis (2007) [7] Coleman (2008) [8] Ismail (2011) [9] Gautschi, Popoff (2016) [27,11] This work, using Paliouras (1996) [3] ASIC complexity 8.23bit, 0.5ulp (Synthesis): 94 kge 63 kge 40 kge 27 kge 9

10 Critical Region Decomposition c = max(a,b) + f - (r) c = max(a,b) - log 2 ((1-2 -r ) / r) + log 2 (r) cotrans(r) critical region 10

11 Function Approximation f (r) E.g., 8.23 LNS Different methods: 1) LUT only (very large!) 2) High order polynomial Often high order required Large interpolator delay 3) LUT + piecewise poly Tradeoff: precomputation vs. interpolation f (r) Interpolation error f (r) 1 st order 2 nd order 3 rd order r r Half precision - single precision: 1-2nd order d d d d r 11

12 LNU Generator Framework Specs: bitwidth, accuracy, order Iterative fitting heuristic (similar to [30]) Piecewise minimax polynomials (using Sollya [29]) [30] De Dinechin et al., Automatic Generation of Polynomial-Based Hardware Architectures for Function Evaluation, ASAP 2010 [29] Chevillarde et al., Sollya: An Environment for the Development of Numerical Codes, ICMS

13 Architecture Template Preprocessing Block Main Interpolator Block Log/Exp Block Postprocessing Block 13

14 LNS Sub (critical): c = max(a,b) + cotrans(r)+ log 2 (r) Main Interpolator Block Log/Exp Block Postprocessing Block 14

15 LNS Sub (critical): c = max(a,b) + cotrans(r)+ log 2 (r) LUTs Log/Exp Block N th order interpolator Postprocessing Block 15

16 LNS Sub (critical): c = max(a,b) + cotrans(r)+ log 2 (r) Postprocessing Block 16

17 LNS Sub (critical): c = max(a,b) + cotrans(r)+ log 2 (r) 17

18 Precise 32bit LNU: Features & Comparison ELM [8] ROM-less [9] ISSCC 16 [27] This Work Functionality ADD, SUB ADD, SUB F2I, I2F, EXP, LOG, ADD, SUB F2I, I2F, EXP, LOG, ADD, SUB Max error [ulp] LUT size [Kbit] Technology 180 nm 180 nm 65 nm 65 nm Area [um 2 ] Post-synthesis [kge] Min delay [ns] Max delay [ns] [8] J.N. Coleman et al. "The European Logarithmic Microprocessor" IEEE TC, 2008 [9] R.C. Ismail et al. "ROM-less LNS" IEEE ARITH, 2011 [27] M. Gautschi, M. Schaffner, F.K. Gürkaynak, L. Benini, ISSCC

19 Design Space: Precision vs. delay in umc65, post-synthesis ulp in the LNS domain - 40% Tipping point 1 st 2 nd order 19

20 Case Study: HW Platform Parallel Ultra-Low-Power (PULP) Platform [31] 4x 32b OpenRISC Cores (in-order) 16 kbyte shared L1 (TCDM), 16 kbyte L2 memory Configurations: 1 Shared LNU (Precise, Approx1, Approx2) 4, 3 or 2 pipeline registers Fair round robin arbiter 4 Private FPUs (reference) Directly integrated into cores 2 pipeline register PE0 PE1 LNU PE2 PE3 PE0 FPU FPU PE2 PE1 FPU FPU PE3 [31] M. Gautschi et al., Tailoring Instruction-Set Extensions for an Ultra-Low Power Tightly-Coupled Cluster of OpenRISC Cores, in VLSI-SoC,

21 Chip Complexities Name FPU Precise Approx1 Approx2 Format IEEE754 LNS LNS LNS Bitwidth Precision 0.5 ulp 0.5 ulp 4 ulp* 16 ulp* Order Pipeline Stages FPU/LNU [kge] 4x Total Complexity [kge] * In the LNS domain 21

22 Kernel Level Results umc65, post-layout Pipeline depth is the relevant factor! Energy efficiency gains mainly due to corresponding speedup! 22

23 Conclusions Generator Framework for precise and approximate LNUs Very compact 8.23bit LNU (33%-71% smaller) Shared setting attractive for LNU Up to 4.2x more energy efficient than private FPU baseline Approximation: Additional gains in area, speedup and energy efficiency Energy-efficiency gains mainly due to lower latency and speedup Less time is needed to complete a task lower system energy consumption 23

24 Outlook Vectorization and trigonometric extensions Optimization opportunities for many algorithms to leverage LNS and approximation PULP Platform: Looking for Collaborators! OpenRISC / RISC-V ISA Open source, silicon proven Extending DSP capabilities pulp@pulp.ethz.ch

25 Q&A Acknowledgements: Nano Tera IcySoC project

26 Backup Slides 26

27 Outline Motivation Preliminaries: LNS Add/Sub and Interpolation LNU Architecture and Generator Framework Multicore Hardware Platform Results Conclusion Q&A 27

28 Private FPUs INT operations Core 0 Core 1 Core 2 Core 3 FPU FPU FPU FPU HDR-ADD/SUB/MUL 50% 28

29 Private LNUs INT operations Core 0 Core 1 Core 2 Core 3 FPU FPU FPU FPU LNU LNU LNU LNU Area: 1 LNU < 4 standard IEEE compliant FPU (no DIV) Poor LNU utilization ~ 0.2 HDR MUL/DIV/SQRT ADD/SUB 29

30 Shared LNU INT operations HDR-MUL/DIV/SQRT Core 0 Core 1 Core 2 Core 3 Arbiter Interconnect LNU HDR-ADD/SUB/I2F/F2I 30

31 Design Space Exploration Bitwidth: Half to Single Precision: Accuracy: Precise (0.5ulp) and Approximate (up to 16ulp) Order: 1st/2nd Order Interpolation 31

32 Design Space: Area vs. Delay * Required # pipeline stages for 500MHz target * * Precise Approx2 Approx1 32

33 Kernels Linear Algebra: AXPY, GEMM, GEMV, DotP Matrix Factorizations: Chol, QR Geometry: Homographies, Distances, Pojection Errors Image: Gradient Magnitude, Bilateral, FIR Audio: Butterworth, Sine, DCT-II Other: Radial Basis Functions 50% 25% 33

34 LNU PULP Chips Selene (ISSCC 16 [27]) UMC 65nm 4 OpenRISC Cores 1 shared 32bit LNU Phoebe UMC 65nm 4 OpenRISC Cores 1 shared 32bit LNUv2 1 shared 2x16bit LNUv2 34

35 Comparison with SFU Functionality Format Functionality Precision Order NaN, INF support Postlayout [kge] Caro et al SQRT, INVSQRT, INV, LOG, EXP, SQRT2, INVSQRT2 IEEE754, ulp 2 no 36.3 LNU ADD, SUB, F2I, I2F, LOG, EXP, INV*, INVSQRT*, SQRT* LNS, ulp 2 yes 36 * Evaluated in integer cores D. D. Caro, N. Petra, and A. G. M. Strollo, High-Performance Special Function Unit for Programmable 3-D Graphics Processors, IEEE TCAS I, vol. 56, no. 9, pp , Sept

36 PULP Architecture with shared LNU Periphery and L2 Memory 4 Core Cluster and L1 Memory 36

37 PULP Architecture with shared LNU 37

38 LNS Example IEEE 754 float = (-1) 0 * ( ) * 2 5 LNS = (-1) 0 *

39 Accuracy Impact (1) 39

40 Accuracy Impact (2) 40

Evaluating RISC-V Cores for PULP

Evaluating RISC-V Cores for PULP Evaluating RISC-V Cores for PULP An Open Parallel Ultra-Low-Power Platform www.pulp.ethz.ch 30 June 2015 Sven Stucki Antonio Pullini Michael Gautschi Frank K. Gürkaynak Andrea Marongiu Igor Loi Davide

More information

DSP ISA Extensions for an Open-Source RISC-V Implementation

DSP ISA Extensions for an Open-Source RISC-V Implementation DSP ISA Extensions for an Open-Source RISC-V Implementation Davide Schiavone Davide Rossi Michael Gautschi Eric Flamand Andreas Traber Luca Benini Integrated Systems Laboratory Introduction: a typical

More information

Contents Introduction Sparse Feature Extraction and Matching

Contents Introduction Sparse Feature Extraction and Matching Contents 1 Introduction 1 1.1 Motivation........................ 1 1.2 Image Domain Warping................. 4 1.3 Thesis Overview..................... 8 1.4 Prior Work........................ 10 1.5 Contributions.......................

More information

Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library

Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library Research Collection Other Conference Item KISS PULPino - Updates on PULPino updates on PULPino Author(s): Pullini, Antonio; Gautschi, Michael; Gürkaynak, Frank Kagan; Glaser, Florian; Mach, Stefan; Rovere,

More information

The PULP Cores: A Set of Open-Source Ultra-Low- Power RISC-V Cores for Internet-of-Things Applications

The PULP Cores: A Set of Open-Source Ultra-Low- Power RISC-V Cores for Internet-of-Things Applications The PULP Cores: A Set of Open-Source Ultra-Low- Power RISC-V Cores for Internet-of-Things Applications 29.11.2017 Pasquale Davide Schiavone, Florian Zaruba Davide Rossi, Igor Loi, Antonio Pullini, Francesco

More information

Accelerating Function Kernels for Elliptic Curve Operations and Mobile Communication Algorithms

Accelerating Function Kernels for Elliptic Curve Operations and Mobile Communication Algorithms Accelerating Function Kernels for Elliptic Curve Operations and Mobile Communication Algorithms Tensilica Day, Hannover Michael Gautschi Prof. Luca Benini Our group: Prof. Luca Benini ETH Zurich, Integrated

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Programmable DSP Architectures Chih-Wei Liu VLSI Signal Processing Lab Department of Electronics Engineering National Chiao Tung University Outline DSP Arithmetic Stream Interface

More information

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO PULP: an open source hardware-software platform for near-sensor analytics Luca Benini IIS-ETHZ & DEI-UNIBO An IoT System View Sense MEMS IMU MEMS Microphone ULP Imager Analyze µcontroller L2 Memory e.g.

More information

An FPGA Implementation of the Powering Function with Single Precision Floating-Point Arithmetic

An FPGA Implementation of the Powering Function with Single Precision Floating-Point Arithmetic An FPGA Implementation of the Powering Function with Single Precision Floating-Point Arithmetic Pedro Echeverría, Marisa López-Vallejo Department of Electronic Engineering, Universidad Politécnica de Madrid

More information

High Speed Special Function Unit for Graphics Processing Unit

High Speed Special Function Unit for Graphics Processing Unit High Speed Special Function Unit for Graphics Processing Unit Abd-Elrahman G. Qoutb 1, Abdullah M. El-Gunidy 1, Mohammed F. Tolba 1, and Magdy A. El-Moursy 2 1 Electrical Engineering Department, Fayoum

More information

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain Massively Parallel Computing on Silicon: SIMD Implementations V.M.. Brea Univ. of Santiago de Compostela Spain GOAL Give an overview on the state-of of-the- art of Digital on-chip CMOS SIMD Solutions,

More information

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage silage@temple.edu ECE Temple University www.temple.edu/scdl Signal Processing Algorithms into Fixed Point FPGA Hardware Motivation

More information

Design of Embedded DSP Processors Unit 2: Design basics. 9/11/2017 Unit 2 of TSEA H1 1

Design of Embedded DSP Processors Unit 2: Design basics. 9/11/2017 Unit 2 of TSEA H1 1 Design of Embedded DSP Processors Unit 2: Design basics 9/11/2017 Unit 2 of TSEA26-2017 H1 1 ASIP/ASIC design flow We need to have the flow in mind, so that we will know what we are talking about in later

More information

Lightweight Arithmetic for Mobile Multimedia Devices. IEEE Transactions on Multimedia

Lightweight Arithmetic for Mobile Multimedia Devices. IEEE Transactions on Multimedia Lightweight Arithmetic for Mobile Multimedia Devices Tsuhan Chen Carnegie Mellon University tsuhan@cmu.edu Thanks to Fang Fang and Rob Rutenbar IEEE Transactions on Multimedia EDICS Signal Processing for

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seung Jin Lee, and Hoi-Jun Yoo

Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seung Jin Lee, and Hoi-Jun Yoo A Low-Power Handheld GPU using Logarithmic Arithmetic and Triple DVFS Power Domains Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seung Jin Lee, and Hoi-Jun Yoo Outline Backgrounds Proposed Handheld GPU Low-Power

More information

Transprecision Computing

Transprecision Computing Transprecision Computing Dionysios Speaker Diamantopoulos name, Title Company/Organization Name IBM Research - Zurich Join the Conversation #OpenPOWERSummit A look into the next 15 years -8x Source: The

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

Optimization of Behavioral IPs in Multi-Processor System-on- Chips

Optimization of Behavioral IPs in Multi-Processor System-on- Chips Optimization of Behavioral IPs in Multi-Processor System-on- Chips Yidi Liu and Benjamin Carrion Schafer # Department of Electronic and Information Engineering b.carrionschafer@polyu.edu.hk # Outline High-Level

More information

Design and Optimization of Geometry Acceleration for Portable 3D Graphics

Design and Optimization of Geometry Acceleration for Portable 3D Graphics M.S. Thesis Design and Optimization of Geometry Acceleration for Portable 3D Graphics Ju-ho Sohn 2002.12.20 oratory Department of Electrical Engineering and Computer Science Korea Advanced Institute of

More information

Ariane: An open-source 64-bit RISC-V Application- Class Processor and latest Improvements

Ariane: An open-source 64-bit RISC-V Application- Class Processor and latest Improvements Ariane: An open-source 64-bit RISC-V Application- Class Processor and latest Improvements 9 May 2018 Florian Zaruba Professor Luca Benini Integrated Systems Laboratory ETH Zürich RISC-V cores under development

More information

Smart Ultra-Low Power Visual Sensing

Smart Ultra-Low Power Visual Sensing Smart Ultra-Low Power Visual Sensing Manuele Rusci*, Francesco Conti * manuele.rusci@unibo.it f.conti@unibo.it Energy-Efficient Embedded Systems Laboratory Dipartimento di Ingegneria dell Energia Elettrica

More information

PULP: A Parallel Ultra Low Power platform for next generation IoT Applications

PULP: A Parallel Ultra Low Power platform for next generation IoT Applications PULP: A Parallel Ultra Low Power platform for next generation IoT Applications Davide Rossi 1 Francesco Conti 1, Andrea Marongiu 1,2, Antonio Pullini 2, Igor Loi 1, Michael Gautschi 2, Giuseppe Tagliavini

More information

Lightweight Arithmetic for Mobile Multimedia Devices

Lightweight Arithmetic for Mobile Multimedia Devices Lightweight Arithmetic for Mobile Multimedia Devices Tsuhan Chen 陳祖翰 Carnegie Mellon University tsuhan@cmu.edu Thanks to Fang Fang and Rob Rutenbar Multimedia Applications on Mobile Devices Multimedia

More information

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo, Hoi-Jun Yoo Semiconductor System

More information

High Performance DoD DSP Applications

High Performance DoD DSP Applications High Performance DoD DSP Applications Robert Bond Embedded Digital Systems Group 23 August 2003 Slide-1 Outline DoD High-Performance DSP Applications Middleware (with some streaming constructs) Future

More information

Optimizing Logarithmic Arithmetic on FPGAs

Optimizing Logarithmic Arithmetic on FPGAs 2007 International Symposium on Field-Programmable Custom Computing Machines Optimizing Logarithmic Arithmetic on FPGAs Haohuan Fu, Oskar Mencer, Wayne Luk Department of Computing, Imperial College London,

More information

Architetture di Calcolo Ultra-Low-Power per Internet of Things: La piattaforma PULP

Architetture di Calcolo Ultra-Low-Power per Internet of Things: La piattaforma PULP Architetture di Calcolo Ultra-Low-Power per Internet of Things: La piattaforma PULP 31.05.2018 Davide Rossi davide.rossi@unibo.it 1 Department of Electrical, Electronic and Information Engineering 2 Integrated

More information

A Scalable Speech Recognizer with Deep-Neural-Network Acoustic Models

A Scalable Speech Recognizer with Deep-Neural-Network Acoustic Models A Scalable Speech Recognizer with Deep-Neural-Network Acoustic Models and Voice-Activated Power Gating Michael Price*, James Glass, Anantha Chandrakasan MIT, Cambridge, MA * now at Analog Devices, Cambridge,

More information

Pilot: A Platform-based HW/SW Synthesis System

Pilot: A Platform-based HW/SW Synthesis System Pilot: A Platform-based HW/SW Synthesis System SOC Group, VLSI CAD Lab, UCLA Led by Jason Cong Zhong Chen, Yiping Fan, Xun Yang, Zhiru Zhang ICSOC Workshop, Beijing August 20, 2002 Outline Overview The

More information

Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems.

Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems. Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems. K. Ram Prakash 1, A.V.Sanju 2 1 Professor, 2 PG scholar, Department of Electronics

More information

Open Floating Point Unit

Open Floating Point Unit Open Floating Point Unit The Free IP Cores Projects www.opencores.org Author: Rudolf Usselmann rudi@asics.ws www.asics.ws Summary: This documents describes a free single precision floating point unit.

More information

Implementation and Impact of LNS MAC Units in Digital Filter Application

Implementation and Impact of LNS MAC Units in Digital Filter Application Implementation and Impact of LNS MAC Units in Digital Filter Application Hari Krishna Raja.V.S *, Christina Jesintha.R * and Harish.I * * Department of Electronics and Communication Engineering, Sri Shakthi

More information

PULP Project Update. ORCONF 2018, Gdansk, Poland Davide Rossi 1,

PULP Project Update. ORCONF 2018, Gdansk, Poland Davide Rossi 1, PULP Project Update ORCONF 2018, Gdansk, Poland. 21.09.2018 Davide Rossi 1, davide.rossi@unibo.it Antonio Pullini 2, Davide Schiavone 2, Francesco Conti 1, Florian Gasler 1, Florian Zaruba 2, Stefan Mach

More information

A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators

A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators Giuseppe Tagliavini, DEI University of Bologna Germain Haugou, IIS ETHZ Andrea Marongiu, DEI University of Bologna & IIS

More information

Wordlength Optimization

Wordlength Optimization EE216B: VLSI Signal Processing Wordlength Optimization Prof. Dejan Marković ee216b@gmail.com Number Systems: Algebraic Algebraic Number e.g. a = + b [1] High level abstraction Infinite precision Often

More information

1 A Comparison of Floating Point and Logarithmic Number Systems on FPGAs

1 A Comparison of Floating Point and Logarithmic Number Systems on FPGAs 1 A Comparison of Floating Point and Logarithmic Number Systems on GAs Michael Haselman, Michael Beauchamp, Aaron Wood, Scott Hauck Dept. of Electrical Engineering University of Washington Seattle, WA

More information

Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster

Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster Cool Chips Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster Davide Rossi University of Bologna Antonio Pullini ETH Zurich Igor Loi University of Bologna Michael Gautschi, Frank Kağan

More information

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT THE FREE AND OPEN RISC INSTRUCTION SET ARCHITECTURE Codasip is the leading provider of RISC-V processor IP Codasip Bk: A portfolio of RISC-V processors Uniquely

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

A Low Power Multimedia SoC with Fully Programmable 3D Graphics and MPEG4/H.264/JPEG for Mobile Devices

A Low Power Multimedia SoC with Fully Programmable 3D Graphics and MPEG4/H.264/JPEG for Mobile Devices A Low Power Multimedia SoC with Fully Programmable 3D Graphics and MPEG4/H.264/JPEG for Mobile Devices Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong 1, Euljoo Jeong 1, Suk Joong Lee 1 and Hoi-Jun

More information

Measuring Improvement When Using HUB Formats to Implement Floating-Point Systems under Round-to- Nearest

Measuring Improvement When Using HUB Formats to Implement Floating-Point Systems under Round-to- Nearest Measuring Improvement When Using HUB Formats to Implement Floating-Point Systems under Round-to- Nearest Abstract: This paper analyzes the benefits of using half-unitbiased (HUB) formats to implement floatingpoint

More information

EE 109 Unit 19. IEEE 754 Floating Point Representation Floating Point Arithmetic

EE 109 Unit 19. IEEE 754 Floating Point Representation Floating Point Arithmetic 1 EE 109 Unit 19 IEEE 754 Floating Point Representation Floating Point Arithmetic 2 Floating Point Used to represent very small numbers (fractions) and very large numbers Avogadro s Number: +6.0247 * 10

More information

Flexible wireless communication architectures

Flexible wireless communication architectures Flexible wireless communication architectures Sridhar Rajagopal Department of Electrical and Computer Engineering Rice University, Houston TX Faculty Candidate Seminar Southern Methodist University April

More information

Realization of Hardware Architectures for Householder Transformation based QR Decomposition using Xilinx System Generator Block Sets

Realization of Hardware Architectures for Householder Transformation based QR Decomposition using Xilinx System Generator Block Sets IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 08 February 2016 ISSN (online): 2349-784X Realization of Hardware Architectures for Householder Transformation based QR

More information

An Elementary Transcendental Function Core Library for Reconfigurable Computing. Robin Bruce, Dr Malachy Devlin, Prof Stephen Marshall

An Elementary Transcendental Function Core Library for Reconfigurable Computing. Robin Bruce, Dr Malachy Devlin, Prof Stephen Marshall An Elementary Transcendental Function Core Library for Reconfigurable Computing Robin Bruce, Dr Malachy Devlin, Prof Stephen Marshall Introduction Project: Implement Floating-Point Math Functions on FPGAs

More information

Understanding Sources of Inefficiency in General-Purpose Chips. Hameed, Rehan, et al. PRESENTED BY: XIAOMING GUO SIJIA HE

Understanding Sources of Inefficiency in General-Purpose Chips. Hameed, Rehan, et al. PRESENTED BY: XIAOMING GUO SIJIA HE Understanding Sources of Inefficiency in General-Purpose Chips Hameed, Rehan, et al. PRESENTED BY: XIAOMING GUO SIJIA HE 1 Outline Motivation H.264 Basics Key ideas Implementation & Evaluation Summary

More information

A fixed-point 3D graphics library with energy-efficient efficient cache architecture for mobile multimedia system

A fixed-point 3D graphics library with energy-efficient efficient cache architecture for mobile multimedia system MS Thesis A fixed-point 3D graphics library with energy-efficient efficient cache architecture for mobile multimedia system Min-wuk Lee 2004.12.14 Semiconductor System Laboratory Department Electrical

More information

Handheld Devices. Kari Pulli. Research Fellow, Nokia Research Center Palo Alto. Material from Jyrki Leskelä, Jarmo Nikula, Mika Salmela

Handheld Devices. Kari Pulli. Research Fellow, Nokia Research Center Palo Alto. Material from Jyrki Leskelä, Jarmo Nikula, Mika Salmela OpenCL in Handheld Devices Kari Pulli Research Fellow, Nokia Research Center Palo Alto Material from Jyrki Leskelä, Jarmo Nikula, Mika Salmela 1 OpenCL 1.0 Embedded Profile Enables OpenCL on mobile and

More information

Design and Implementation of a Super Scalar DLX based Microprocessor

Design and Implementation of a Super Scalar DLX based Microprocessor Design and Implementation of a Super Scalar DLX based Microprocessor 2 DLX Architecture As mentioned above, the Kishon is based on the original DLX as studies in (Hennessy & Patterson, 1996). By: Amnon

More information

High-Performance Linear Algebra Processor using FPGA

High-Performance Linear Algebra Processor using FPGA High-Performance Linear Algebra Processor using FPGA J. R. Johnson P. Nagvajara C. Nwankpa 1 Extended Abstract With recent advances in FPGA (Field Programmable Gate Array) technology it is now feasible

More information

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 3. Arithmetic for Computers Implementation

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 3. Arithmetic for Computers Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 3 Arithmetic for Computers Implementation Today Review representations (252/352 recap) Floating point Addition: Ripple

More information

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning By: Roman Lysecky and Frank Vahid Presented By: Anton Kiriwas Disclaimer This specific

More information

Fixed Point Library Based on ISO/IEC Standard DTR for Atmel AVR Microcontrollers. Wilfried Elmenreich, Maximilian Rosenblattl, Andreas Wolf

Fixed Point Library Based on ISO/IEC Standard DTR for Atmel AVR Microcontrollers. Wilfried Elmenreich, Maximilian Rosenblattl, Andreas Wolf Fixed Point Library Based on ISO/IEC Standard DTR 18037 for Atmel AVR Wilfried Elmenreich, Maximilian Rosenblattl, Andreas Wolf 1 Overview Floating Point and Fixed Point ISO/IEC Standard DTR 18037 Library

More information

Jim Keller. Digital Equipment Corp. Hudson MA

Jim Keller. Digital Equipment Corp. Hudson MA Jim Keller Digital Equipment Corp. Hudson MA ! Performance - SPECint95 100 50 21264 30 21164 10 1995 1996 1997 1998 1999 2000 2001 CMOS 5 0.5um CMOS 6 0.35um CMOS 7 0.25um "## Continued Performance Leadership

More information

PROJECT REPORT IMPLEMENTATION OF LOGARITHM COMPUTATION DEVICE AS PART OF VLSI TOOLS COURSE

PROJECT REPORT IMPLEMENTATION OF LOGARITHM COMPUTATION DEVICE AS PART OF VLSI TOOLS COURSE PROJECT REPORT ON IMPLEMENTATION OF LOGARITHM COMPUTATION DEVICE AS PART OF VLSI TOOLS COURSE Project Guide Prof Ravindra Jayanti By Mukund UG3 (ECE) 200630022 Introduction The project was implemented

More information

Sine Function Approximation using Parabolic Synthesis and Linear Interpolation

Sine Function Approximation using Parabolic Synthesis and Linear Interpolation Master s Thesis Sine Function Approximation using Parabolic Synthesis and Linear Interpolation By Madhubabu Nimmagadda Surendra Reddy Utukuru Department of Electrical and Information Technology Faculty

More information

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Presenter: Course: EEC 289Q: Reconfigurable Computing Course Instructor: Professor Soheil Ghiasi Outline Overview of M.I.T. Raw processor

More information

Vector IRAM: A Microprocessor Architecture for Media Processing

Vector IRAM: A Microprocessor Architecture for Media Processing IRAM: A Microprocessor Architecture for Media Processing Christoforos E. Kozyrakis kozyraki@cs.berkeley.edu CS252 Graduate Computer Architecture February 10, 2000 Outline Motivation for IRAM technology

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team 2015 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top down Workflow for SoC

More information

EEL 4783: Hardware/Software Co-design with FPGAs

EEL 4783: Hardware/Software Co-design with FPGAs EEL 4783: Hardware/Software Co-design with FPGAs Lecture 5: Digital Camera: Software Implementation* Prof. Mingjie Lin * Some slides based on ISU CPrE 588 1 Design Determine system s architecture Processors

More information

Optimized Design and Implementation of a 16-bit Iterative Logarithmic Multiplier

Optimized Design and Implementation of a 16-bit Iterative Logarithmic Multiplier Optimized Design and Implementation a 16-bit Iterative Logarithmic Multiplier Laxmi Kosta 1, Jaspreet Hora 2, Rupa Tomaskar 3 1 Lecturer, Department Electronic & Telecommunication Engineering, RGCER, Nagpur,India,

More information

Performance, Power, Die Yield. CS301 Prof Szajda

Performance, Power, Die Yield. CS301 Prof Szajda Performance, Power, Die Yield CS301 Prof Szajda Administrative HW #1 assigned w Due Wednesday, 9/3 at 5:00 pm Performance Metrics (How do we compare two machines?) What to Measure? Which airplane has the

More information

THE NVIDIA DEEP LEARNING ACCELERATOR

THE NVIDIA DEEP LEARNING ACCELERATOR THE NVIDIA DEEP LEARNING ACCELERATOR INTRODUCTION NVDLA NVIDIA Deep Learning Accelerator Developed as part of Xavier NVIDIA s SOC for autonomous driving applications Optimized for Convolutional Neural

More information

Head, Dept of Electronics & Communication National Institute of Technology Karnataka, Surathkal, India

Head, Dept of Electronics & Communication National Institute of Technology Karnataka, Surathkal, India Mapping Signal Processing Algorithms to Architecture Sumam David S Head, Dept of Electronics & Communication National Institute of Technology Karnataka, Surathkal, India sumam@ieee.org Objectives At the

More information

ANALYSIS OF AN AREA EFFICIENT VLSI ARCHITECTURE FOR FLOATING POINT MULTIPLIER AND GALOIS FIELD MULTIPLIER*

ANALYSIS OF AN AREA EFFICIENT VLSI ARCHITECTURE FOR FLOATING POINT MULTIPLIER AND GALOIS FIELD MULTIPLIER* IJVD: 3(1), 2012, pp. 21-26 ANALYSIS OF AN AREA EFFICIENT VLSI ARCHITECTURE FOR FLOATING POINT MULTIPLIER AND GALOIS FIELD MULTIPLIER* Anbuselvi M. and Salivahanan S. Department of Electronics and Communication

More information

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano Modeling and Simulation of System-on on-chip Platorms Donatella Sciuto 10/01/2007 Politecnico di Milano Dipartimento di Elettronica e Informazione Piazza Leonardo da Vinci 32, 20131, Milano Key SoC Market

More information

100 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 1, JANUARY 2017

100 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 1, JANUARY 2017 100 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 1, JANUARY 2017 Hybrid Hardware/Software Floating-Point Implementations for Optimized Area and Throughput Tradeoffs Jon

More information

systems such as Linux (real time application interface Linux included). The unified 32-

systems such as Linux (real time application interface Linux included). The unified 32- 1.0 INTRODUCTION The TC1130 is a highly integrated controller combining a Memory Management Unit (MMU) and a Floating Point Unit (FPU) on one chip. Thanks to the MMU, this member of the 32-bit TriCoreTM

More information

Module 5 Introduction to Parallel Processing Systems

Module 5 Introduction to Parallel Processing Systems Module 5 Introduction to Parallel Processing Systems 1. What is the difference between pipelining and parallelism? In general, parallelism is simply multiple operations being done at the same time.this

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 10 Task Partitioning Sources: Prof. Margarida Jacome, UT Austin Prof. Lothar Thiele, ETH Zürich Andreas Gerstlauer Electrical and Computer Engineering University

More information

Implementation Of Quadratic Rotation Decomposition Based Recursive Least Squares Algorithm

Implementation Of Quadratic Rotation Decomposition Based Recursive Least Squares Algorithm 157 Implementation Of Quadratic Rotation Decomposition Based Recursive Least Squares Algorithm Manpreet Singh 1, Sandeep Singh Gill 2 1 University College of Engineering, Punjabi University, Patiala-India

More information

Outline. L9: Project Discussion and Floating Point Issues. Project Parts (Total = 50%) Project Proposal (due 3/8) 2/13/12.

Outline. L9: Project Discussion and Floating Point Issues. Project Parts (Total = 50%) Project Proposal (due 3/8) 2/13/12. Outline L9: Project Discussion and Floating Point Issues Discussion of semester projects Floating point Mostly single precision until recent architectures Accuracy What s fast and what s not Reading: Ch

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

A Reconfigurable Multifunction Computing Cache Architecture

A Reconfigurable Multifunction Computing Cache Architecture IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 4, AUGUST 2001 509 A Reconfigurable Multifunction Computing Cache Architecture Huesung Kim, Student Member, IEEE, Arun K. Somani,

More information

SH4 RISC Microprocessor for Multimedia

SH4 RISC Microprocessor for Multimedia SH4 RISC Microprocessor for Multimedia Fumio Arakawa, Osamu Nishii, Kunio Uchiyama, Norio Nakagawa Hitachi, Ltd. 1 Outline 1. SH4 Overview 2. New Floating-point Architecture 3. Length-4 Vector Instructions

More information

Image Compression System on an FPGA

Image Compression System on an FPGA Image Compression System on an FPGA Group 1 Megan Fuller, Ezzeldin Hamed 6.375 Contents 1 Objective 2 2 Background 2 2.1 The DFT........................................ 3 2.2 The DCT........................................

More information

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN Giovanni De Micheli Luca Benini CSL - Stanford University DEIS - Bologna University Electronic systems Systems on chip are everywhere Technology

More information

Advanced and parallel architectures. Part B. Prof. A. Massini. June 13, Exercise 1a (3 points) Exercise 1b (3 points) Exercise 2 (8 points)

Advanced and parallel architectures. Part B. Prof. A. Massini. June 13, Exercise 1a (3 points) Exercise 1b (3 points) Exercise 2 (8 points) Advanced and parallel architectures Prof. A. Massini June 13, 2017 Part B Exercise 1a (3 points) Exercise 1b (3 points) Exercise 2 (8 points) Student s Name Exercise 3 (4 points) Exercise 4 (3 points)

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING SASE 2010 Universidad Tecnológica Nacional - FRBA Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable.

More information

An Empirical Model for Predicting Cross-Core Performance Interference on Multicore Processors

An Empirical Model for Predicting Cross-Core Performance Interference on Multicore Processors An Empirical Model for Predicting Cross-Core Performance Interference on Multicore Processors Jiacheng Zhao Institute of Computing Technology, CAS In Conjunction with Prof. Jingling Xue, UNSW, Australia

More information

Leveraging OpenSPARC. ESA Round Table 2006 on Next Generation Microprocessors for Space Applications EDD

Leveraging OpenSPARC. ESA Round Table 2006 on Next Generation Microprocessors for Space Applications EDD Leveraging OpenSPARC ESA Round Table 2006 on Next Generation Microprocessors for Space Applications G.Furano, L.Messina TEC- OpenSPARC T1 The T1 is a new-from-the-ground-up SPARC microprocessor implementation

More information

A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan

A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan 1 Outline: 3D Wireless NoC Designs This part also explores 3D NoC architecture with inductive-coupling

More information

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Israel Koren Department of Electrical and Computer Engineering Univ. of Massachusetts, Amherst, MA collaborating with Luca Breveglieri,

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN-FRBA 2010 Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable. Reproducibility. Don t depend on components

More information

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

More information

A 167-processor 65 nm Computational Platform with Per-Processor Dynamic Supply Voltage and Dynamic Clock Frequency Scaling

A 167-processor 65 nm Computational Platform with Per-Processor Dynamic Supply Voltage and Dynamic Clock Frequency Scaling A 167-processor 65 nm Computational Platform with Per-Processor Dynamic Supply Voltage and Dynamic Clock Frequency Scaling Dean Truong, Wayne Cheng, Tinoosh Mohsenin, Zhiyi Yu, Toney Jacobson, Gouri Landge,

More information

HIGH PERFORMANCE PEDESTRIAN DETECTION ON TEGRA X1

HIGH PERFORMANCE PEDESTRIAN DETECTION ON TEGRA X1 April 4-7, 2016 Silicon Valley HIGH PERFORMANCE PEDESTRIAN DETECTION ON TEGRA X1 Max Lv, NVIDIA Brant Zhao, NVIDIA April 7 mlv@nvidia.com https://github.com/madeye Histogram of Oriented Gradients on GPU

More information

Jack Kang ( 剛至堅 ) VP Product June 2018

Jack Kang ( 剛至堅 ) VP Product June 2018 Jack Kang ( 剛至堅 ) VP Product June 2018 SiFive RISC-V Core IP Product Offering SiFive RISC-V Core IP Industry leading 32-bit and 64-bit Embedded Cores High performance 64-bit Application Cores High Performance

More information

ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT

ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT Usha S. 1 and Vijaya Kumar V. 2 1 VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics and Communication Engineering,

More information

Neural Network based Energy-Efficient Fault Tolerant Architect

Neural Network based Energy-Efficient Fault Tolerant Architect Neural Network based Energy-Efficient Fault Tolerant Architectures and Accelerators University of Rochester February 7, 2013 References Flexible Error Protection for Energy Efficient Reliable Architectures

More information

Simultaneous floating-point sine and cosine for VLIW integer processors

Simultaneous floating-point sine and cosine for VLIW integer processors Simultaneous floating-point sine and cosine for VLIW integer processors Jingyan Jourdan-Lu Computer Arithmetic group ARIC INRIA and ENS Lyon, France Compilation Expertise Center STMicroelectronics Grenoble,

More information

Design & Implementation of 64 bit ALU for Instruction Set Architecture & Comparison between Speed/Power Consumption on FPGA.

Design & Implementation of 64 bit ALU for Instruction Set Architecture & Comparison between Speed/Power Consumption on FPGA. Design & Implementation of 64 bit ALU for Instruction Set Architecture & Comparison between Speed/Power Consumption on FPGA 1 Rajeev Kumar Coordinator M.Tech ECE, Deptt of ECE, IITT College, Punjab rajeevpundir@hotmail.com

More information

2D/3D Graphics Accelerator for Mobile Multimedia Applications. Ramchan Woo, Sohn, Seong-Jun Song, Young-Don

2D/3D Graphics Accelerator for Mobile Multimedia Applications. Ramchan Woo, Sohn, Seong-Jun Song, Young-Don RAMP-IV: A Low-Power and High-Performance 2D/3D Graphics Accelerator for Mobile Multimedia Applications Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae,, and Hoi-Jun Yoo oratory Dept. of EECS,

More information

Advanced processor designs

Advanced processor designs Advanced processor designs We ve only scratched the surface of CPU design. Today we ll briefly introduce some of the big ideas and big words behind modern processors by looking at two example CPUs. The

More information

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 Trends of 32-bit microcontrollers

More information

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS Rev. Roum. Sci. Techn. Électrotechn. et Énerg. Vol. 61, 1, pp. 53 57, Bucarest, 016 Électronique et transmission de l information EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL

More information

Vendor Agnostic, High Performance, Double Precision Floating Point Division for FPGAs

Vendor Agnostic, High Performance, Double Precision Floating Point Division for FPGAs Vendor Agnostic, High Performance, Double Precision Floating Point Division for FPGAs Xin Fang and Miriam Leeser Dept of Electrical and Computer Eng Northeastern University Boston, Massachusetts 02115

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information