Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library

Size: px
Start display at page:

Download "Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library"

Transcription

1 Research Collection Other Conference Item KISS PULPino - Updates on PULPino updates on PULPino Author(s): Pullini, Antonio; Gautschi, Michael; Gürkaynak, Frank Kagan; Glaser, Florian; Mach, Stefan; Rovere, Giovanni; Schiavone, Davide; Haugou, Germain; Palossi, Daniele; Marongiu, Andrea; Flamand, Eric; Benini, Luca; et al. Publication Date: 2016 Permanent Link: Rights / License: In Copyright - Non-Commercial Use Permitted This page was generated automatically upon download from the ETH Zurich Research Collection. For more information please consult the Terms of use. ETH Library

2 KISS PULPino Updates on PULPino 5 th RISC-V Workshop, Mountain View (California), Florian Zaruba Florian Zaruba

3 Florian Zaruba

4 Florian Zaruba

5 Florian Zaruba

6 Florian Zaruba

7 Florian Zaruba

8 Florian Zaruba

9 Florian Zaruba

10

11 Imperio First ASIC of PULPino (UMC 65) Complete µc (RV32IMC) Integrated FLL Speed: 500 MHz Peripherals: 19 GPIOs, UART, I2C, SPI JTAG Debug Interface 64 kb RAM Operating Voltage: V Dynamic Power: µw/mhz, 1.2 V 3 15 µw/mhz, 0.9 V Leakage: 150 µw Area: 700 kge * (SoC) 40 kge * (Core) ETH ASIC Gallery: * 1 kge = 1.44 µm

12 Florian Zaruba

13 Florian Zaruba

14 Parallel Ultra Low Power Platform SPI Slave SCM SCM SCM SCM SCM SCM SCM SCM Debug SRAM SRAM SRAM SRAM SRAM SRAM SRAM SRAM DMA I2C UART Peripheral Bus L2 Memory (SRAM) Bus Low Latency Interconnect Core #1 Core #2 Core #3 Core #N L0 L0 L0 L0 SPI Master GPIO Bus Adapter Instruction Cache (SCM) Bus Adapter Instruction Bus Florian Zaruba

15 Parallel Ultra Low Power Platform SPI Slave SCM SCM SCM SCM SCM SCM SCM SCM Debug SRAM SRAM SRAM SRAM SRAM SRAM SRAM SRAM DMA I2C UART Peripheral Bus L2 Memory (SRAM) Bus Core #1 L0 Low Latency Interconnect SPI Master GPIO Bus Adapter Instruction Cache (SCM) Bus Adapter Instruction Bus Florian Zaruba

16 Parallel Ultra Low Power Platform SPI Slave Debug DMA Data RAM I2C UART Peripheral Bus L2 Memory (SRAM) Bus Core #1 L0 SPI Master GPIO Bus Adapter Instruction Cache (SCM) Bus Adapter Instruction Bus Florian Zaruba

17 Parallel Ultra Low Power Platform SPI Slave Debug Data RAM I2C UART Peripheral Bus L2 Memory (SRAM) Bus Core #1 L0 SPI Master GPIO Bus Adapter Instruction RAM Florian Zaruba

18 Parallel PULPino Ultra Low Power Platform SPI Slave Debug Data RAM I2C UART Peripheral Bus Bus Core #1 L0 SPI Master GPIO Bus Adapter Instruction RAM Florian Zaruba

19 Current State RV32ICM + custom instructions Hardware-loops Post-incrementing load and stores SIMD Patch for RISC-V toolchain Built-ins for SIMD Infers instructions 10x efficiency increase Support for all targets: RTL simulation FPGA mapping Virtual platform ASIC Silicon proven core 3.19 Coremark/MHz 1.2 DMIPS/MHz Florian Zaruba

20 Florian Zaruba

21 Multicore Cluster PULP Research 28nm 65nm 28nm Florian Zaruba

22 Multicore Cluster PULP Research Standalone µc PULPino Ease of use 28nm 65nm 65nm 28nm Florian Zaruba

23 Multicore Cluster PULP Research Standalone µc PULPino Ease of use Mixed signal VivoSoC Healthcare 28nm 65nm 65nm 28nm 130 nm 130 nm Florian Zaruba

24 Open Sourcing PULPino We open sourced PULPino on 1 st March 2016 and got fantastic media coverage Over 15,000 users visited our website More than 600 unique clones on GitHub Over 20 companies and research institutes use PULPino Florian Zaruba

25 Open Sourcing PULPino We open sourced PULPino on 1 st March 2016 and got fantastic media coverage Over 15,000 users visited our website More than 600 unique clones on GitHub Over 20 companies and research institutes use PULPino Florian Zaruba

26 Future of PULPino (PULPino V2) Continue this success PULPino V2: Support for Verilator simulation IP-XACT description New peripherals (µdma) New, streamlined event unit SDK Updated compiler Improved documentation and tutorials 1 March First release of PULPino May 2016 Toolchain for our modified RISC-V implementation May 2016 DSP oriented extensions Q PULPino V2 5 Late 2017 PULPino V3, Virtual platform (ISS) Florian Zaruba

27 Future Efforts Florian Zaruba

28 Future Efforts Privileged ISA Secure PULPino, MMU Sel4 OS Florian Zaruba

29 Future Efforts Privileged ISA Secure PULPino, MMU Sel4 OS < 10 kge RISC-V RV32 IC 1 & 3 stage pipeline Florian Zaruba

30 Future Efforts Privileged ISA Secure PULPino, MMU Sel4 OS < 10 kge RISC-V RV32 IC 1 & 3 stage pipeline Heterogeneous configuration FPU Accelerators Florian Zaruba

31 Get in touch with us! Subscribe to our mailing list by sending: subscribe pulp-info Firstname Lastname to Check us out on: PULP Florian Zaruba

32 Questions? Florian Zaruba Davide Rossi 1, Igor Loi 1, Antonio Pullini 2, Francesco Conti 1, Michael Gautschi 2, Frank K. Gürkaynak 2, Florian Glaser 2, Stefan Mach 2, Giovanni Rovere 2, Davide Schiavone 2, Germain Haugou 2, Manuele Rusci 1, Alessandro Capotondi 1, Giuseppe Tagliavini 1, Daniele Palossi 2, Andrea Marongiu 1,2, Fabio Montagna 1, Simone Benatti 1, Eric Flamand 2, Luca Benini 1,2 1 Department of Electrical, Electronic and Information Engineering Placeholder for organisational unit name / logo (edit in slide master via View > Slide Master ) 2 Integrated Systems Laboratory Florian Zaruba

The PULP Cores: A Set of Open-Source Ultra-Low- Power RISC-V Cores for Internet-of-Things Applications

The PULP Cores: A Set of Open-Source Ultra-Low- Power RISC-V Cores for Internet-of-Things Applications The PULP Cores: A Set of Open-Source Ultra-Low- Power RISC-V Cores for Internet-of-Things Applications 29.11.2017 Pasquale Davide Schiavone, Florian Zaruba Davide Rossi, Igor Loi, Antonio Pullini, Francesco

More information

Ariane: An open-source 64-bit RISC-V Application- Class Processor and latest Improvements

Ariane: An open-source 64-bit RISC-V Application- Class Processor and latest Improvements Ariane: An open-source 64-bit RISC-V Application- Class Processor and latest Improvements 9 May 2018 Florian Zaruba Professor Luca Benini Integrated Systems Laboratory ETH Zürich RISC-V cores under development

More information

PULP Project Update. ORCONF 2018, Gdansk, Poland Davide Rossi 1,

PULP Project Update. ORCONF 2018, Gdansk, Poland Davide Rossi 1, PULP Project Update ORCONF 2018, Gdansk, Poland. 21.09.2018 Davide Rossi 1, davide.rossi@unibo.it Antonio Pullini 2, Davide Schiavone 2, Francesco Conti 1, Florian Gasler 1, Florian Zaruba 2, Stefan Mach

More information

Evaluating RISC-V Cores for PULP

Evaluating RISC-V Cores for PULP Evaluating RISC-V Cores for PULP An Open Parallel Ultra-Low-Power Platform www.pulp.ethz.ch 30 June 2015 Sven Stucki Antonio Pullini Michael Gautschi Frank K. Gürkaynak Andrea Marongiu Igor Loi Davide

More information

DSP ISA Extensions for an Open-Source RISC-V Implementation

DSP ISA Extensions for an Open-Source RISC-V Implementation DSP ISA Extensions for an Open-Source RISC-V Implementation Davide Schiavone Davide Rossi Michael Gautschi Eric Flamand Andreas Traber Luca Benini Integrated Systems Laboratory Introduction: a typical

More information

PULP: A Parallel Ultra Low Power platform for next generation IoT Applications

PULP: A Parallel Ultra Low Power platform for next generation IoT Applications PULP: A Parallel Ultra Low Power platform for next generation IoT Applications Davide Rossi 1 Francesco Conti 1, Andrea Marongiu 1,2, Antonio Pullini 2, Igor Loi 1, Michael Gautschi 2, Giuseppe Tagliavini

More information

Scaling-up Edge Computing with PULP A many-core Platform for Micropower in-sensor Analytics Southampton

Scaling-up Edge Computing with PULP A many-core Platform for Micropower in-sensor Analytics Southampton Scaling-up Edge Computing with PULP A many-core Platform for Micropower in-sensor Analytics Southampton 19.01.2018 Davide Rossi 1, Antonio Pullini 2, Igor Loi 1, Davide Schiavone 2, Francesco Conti 1,

More information

A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators

A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators Giuseppe Tagliavini, DEI University of Bologna Germain Haugou, IIS ETHZ Andrea Marongiu, DEI University of Bologna & IIS

More information

Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters

Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters ARITH 2016 Silicon Valley July 10-13, 2016 Michael Schaffner 1 Michael Gautschi 1 Frank K. Gürkaynak 1 Prof. Luca

More information

Verification of the PULPino SOC platform using UVM CISMA

Verification of the PULPino SOC platform using UVM CISMA Verification of the PULPino SOC platform using UVM Mahesh R, Associate engineer Shamanth H K, Associate engineer CISMA (a subsidiary of Verikwest Systems Inc, CA ) RISC-V Workshop Chennai India () Outline

More information

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO PULP: an open source hardware-software platform for near-sensor analytics Luca Benini IIS-ETHZ & DEI-UNIBO An IoT System View Sense MEMS IMU MEMS Microphone ULP Imager Analyze µcontroller L2 Memory e.g.

More information

Accelerating Function Kernels for Elliptic Curve Operations and Mobile Communication Algorithms

Accelerating Function Kernels for Elliptic Curve Operations and Mobile Communication Algorithms Accelerating Function Kernels for Elliptic Curve Operations and Mobile Communication Algorithms Tensilica Day, Hannover Michael Gautschi Prof. Luca Benini Our group: Prof. Luca Benini ETH Zurich, Integrated

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Exercise 6: PULP Programming

Exercise 6: PULP Programming Exercise 6: PULP Programming Introduction to the PULP Computing Platform 24.05.2016 Antonio Pullini Michael Gautschi Davide Schiavone Integrated Systems Laboratory How efficient do we need to be? Integrated

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster

Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster Cool Chips Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster Davide Rossi University of Bologna Antonio Pullini ETH Zurich Igor Loi University of Bologna Michael Gautschi, Frank Kağan

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Factors which influence in many core processors

Factors which influence in many core processors Factors which influence in many core processors ABSTRACT: The applications for multicore and manycore microprocessors as RISC-V are currently useful for the advantages of their friendly nature, compared

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

The lowrisc project Alex Bradbury

The lowrisc project Alex Bradbury The lowrisc project Alex Bradbury lowrisc C.I.C. 3 rd April 2017 lowrisc We are producing an open source Linux capable System-on-a- Chip (SoC) 64-bit multicore Aim to be the Linux of the Hardware world

More information

Jack Kang ( 剛至堅 ) VP Product June 2018

Jack Kang ( 剛至堅 ) VP Product June 2018 Jack Kang ( 剛至堅 ) VP Product June 2018 SiFive RISC-V Core IP Product Offering SiFive RISC-V Core IP Industry leading 32-bit and 64-bit Embedded Cores High performance 64-bit Application Cores High Performance

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Architetture di Calcolo Ultra-Low-Power per Internet of Things: La piattaforma PULP

Architetture di Calcolo Ultra-Low-Power per Internet of Things: La piattaforma PULP Architetture di Calcolo Ultra-Low-Power per Internet of Things: La piattaforma PULP 31.05.2018 Davide Rossi davide.rossi@unibo.it 1 Department of Electrical, Electronic and Information Engineering 2 Integrated

More information

Design Center KM211. from Idea to Implementation!!! IC Design & IP

Design Center KM211. from Idea to Implementation!!! IC Design & IP Design Center KM211 from Idea to Implementation!!! IC Design & IP Company profile Size: Location: Highly professional team of 40+ engineers, with solid mass production experience SEZ Zelenograd (Russian

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 Trends of 32-bit microcontrollers

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

RISC-V Core IP Products

RISC-V Core IP Products RISC-V Core IP Products An Introduction to SiFive RISC-V Core IP Drew Barbier September 2017 drew@sifive.com SiFive RISC-V Core IP Products This presentation is targeted at embedded designers who want

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Domain-Specific Acceleration via AndeStar V5 Processors

Domain-Specific Acceleration via AndeStar V5 Processors Domain-Specific Acceleration via AndeStar V5 Processors 2018/12/04-06 2018 RISC-V Summit Santa Clara Charlie Su, Ph.D. CTO and SVP Talk Highlights Overview of Andes Update of Andes RISC-V Processors Andes

More information

Introducing the Latest SiFive RISC-V Core IP Series

Introducing the Latest SiFive RISC-V Core IP Series Introducing the Latest SiFive RISC-V Core IP Series Drew Barbier DAC, June 2018 1 SiFive RISC-V Core IP Product Offering SiFive RISC-V Core IP Industry leading 32-bit and 64-bit Embedded Cores High performance

More information

RISECREEK: From RISC-V Spec to 22FFL Silicon

RISECREEK: From RISC-V Spec to 22FFL Silicon RISECREEK: From RISC-V Spec to 22FFL Silicon Vinod Ganesan, Gopinathan Muthuswamy Group CSE Dept RISE Lab IIT Madras Amudhan, Bharath, Alagu - HCL Tech Konala Varma, Ang Boon Chong, Harish Villuri - Intel

More information

PULPino: Datasheet. Imperio: The first PULPino ASIC. Andreas Traber, Michael Gautschi {atraber,

PULPino: Datasheet. Imperio: The first PULPino ASIC. Andreas Traber, Michael Gautschi {atraber, PULPino: Datasheet Imperio: The first PULPino ASIC Andreas Traber, Michael Gautschi {atraber, gautschi}@iis.ee.ethz.ch June 9, 2017 Contents 1 Overview 4 2 Memory Map 5 2.1 Interrupt Vector Table.................................

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan Processors Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan chanhl@maili.cgu.edu.twcgu General-purpose p processor Control unit Controllerr Control/ status Datapath ALU

More information

Exercise: RISC Programming

Exercise: RISC Programming Exercise: RISC Programming Increasing efficiency of a RISC-core with simple instruction extensions 04.04.2016 Michael Gautschi Introduction The exercises in today will be performed on the Pulpino platform

More information

Smallest RISC-V Device for Next-Generation Edge Computing

Smallest RISC-V Device for Next-Generation Edge Computing Smallest RISC-V Device for Next-Generation Edge Computing 1 Seiji Munetoh 1, Chitra K Subramanian 2, Arun Paidimarri 2, Yasuteru Kohda 1 IBM Research Tokyo 1 & T.J. Watson Research Center 2 Processor chip

More information

Smart Ultra-Low Power Visual Sensing

Smart Ultra-Low Power Visual Sensing Smart Ultra-Low Power Visual Sensing Manuele Rusci*, Francesco Conti * manuele.rusci@unibo.it f.conti@unibo.it Energy-Efficient Embedded Systems Laboratory Dipartimento di Ingegneria dell Energia Elettrica

More information

RISC-V Summit, December 2018 Simon Davidmann, Lee Moore 2018, Imperas Software

RISC-V Summit, December 2018 Simon Davidmann, Lee Moore 2018, Imperas Software How to Address RISC-V Compliance in the Era of OPEN ISA and Custom Instructions RISC-V Summit, December 2018 Simon Davidmann, Lee Moore 2018, Imperas Software Agenda RISC-V Compliance Compliance for RISC-V

More information

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc.

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Configurable s for SOC Design Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Why Listen to This Presentation? Understand how SOC design techniques, now nearly 20 years old, are

More information

Designing RISC-V from the.pdf / A baseband processor extension to the ISA

Designing RISC-V from the.pdf / A baseband processor extension to the ISA Designing RISC-V from the.pdf / A baseband processor extension to the ISA Cecil Accetti R. de A. Melo cecaccetti@sjtu.edu.cn 6 th RISC-V workshop Shanghai, China May 8-10 2017 Shanghai Key Laboratory of

More information

Early Software Development Through Emulation for a Complex SoC

Early Software Development Through Emulation for a Complex SoC Early Software Development Through Emulation for a Complex SoC FTF-NET-F0204 Raghav U. Nayak Senior Validation Engineer A P R. 2 0 1 4 TM External Use Session Objectives After completing this session you

More information

Agile Hardware Design: Building Chips with Small Teams

Agile Hardware Design: Building Chips with Small Teams 2017 SiFive. All Rights Reserved. Agile Hardware Design: Building Chips with Small Teams Yunsup Lee ASPIRE Graduate 2016 Co-Founder and CTO 2 2017 SiFive. All Rights Reserved. World s First Single-Chip

More information

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013 DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES Flight Software Workshop 2013 12/12/2013 Daniel Hellström Presentation does not contain U.S. Export controlled information (aka ITAR) 12/08/13

More information

The World Leader in High Performance Signal Processing Solutions. DSP Processors

The World Leader in High Performance Signal Processing Solutions. DSP Processors The World Leader in High Performance Signal Processing Solutions DSP Processors NDA required until November 11, 2008 Analog Devices Processors Broad Choice of DSPs Blackfin Media Enabled, 16/32- bit fixed

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

arxiv: v1 [eess.sp] 24 Apr 2018

arxiv: v1 [eess.sp] 24 Apr 2018 PULP-HD: Accelerating Brain-Inspired High-Dimensional Computing on a Parallel Ultra-Low Power Platform Fabio Montagna, Abbas Rahimi, Simone Benatti, Davide Rossi, and Luca Benini arxiv:1804.09123v1 [eess.sp]

More information

PRU Hardware Overview. Building Blocks for PRU Development: Module 1

PRU Hardware Overview. Building Blocks for PRU Development: Module 1 PRU Hardware Overview Building Blocks for PRU Development: Module 1 Agenda SoC Architecture PRU Submodules Example Applications 2 SoC Architecture Building Blocks for PRU Development: PRU Hardware Overview

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit 2018 NETRONOME SYSTEMS, INC. 1 @risc_v MASSIVELY PARALLEL

More information

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU New STM32 F7 Series World s 1 st to market, ARM Cortex -M7 based 32-bit MCU 7 Keys of STM32 F7 series 2 1 2 3 4 5 6 7 First. ST is first to sample a fully functional Cortex-M7 based 32-bit MCU : STM32

More information

Transprecision Computing

Transprecision Computing Transprecision Computing Dionysios Speaker Diamantopoulos name, Title Company/Organization Name IBM Research - Zurich Join the Conversation #OpenPOWERSummit A look into the next 15 years -8x Source: The

More information

RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi

RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi Power Matters. TM RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi chowdhary.musunuri@microsemi.com RIC217 1 Agenda A brief introduction

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics

An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics 1 An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics Francesco Conti, Robert Schilling, Pasquale D. Schiavone, Antonio Pullini, Davide Rossi, Frank K. Gürkaynak, Michael

More information

Low-Power Processor Solutions for Always-on Devices

Low-Power Processor Solutions for Always-on Devices Low-Power Processor Solutions for Always-on Devices Pieter van der Wolf MPSoC 2014 July 7 11, 2014 2014 Synopsys, Inc. All rights reserved. 1 Always-on Mobile Devices Mobile devices on the move Mobile

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

SPEAr: an HW/SW reconfigurable multi processor architecture

SPEAr: an HW/SW reconfigurable multi processor architecture Welcome to the «SPEAr Age» Structured Processor Enhanced Architecture SPEAr: an HW/SW reconfigurable multi processor architecture COMPUTER PERIPHERAL GROUP Outline Economics of Moore s law and market view

More information

Introduction to Sitara AM437x Processors

Introduction to Sitara AM437x Processors Introduction to Sitara AM437x Processors AM437x: Highly integrated, scalable platform with enhanced industrial communications and security AM4376 AM4378 Software Key Features AM4372 AM4377 High-performance

More information

Cycle Approximate Simulation of RISC-V Processors

Cycle Approximate Simulation of RISC-V Processors Cycle Approximate Simulation of RISC-V Processors Lee Moore, Duncan Graham, Simon Davidmann Imperas Software Ltd. Felipe Rosa Universidad Federal Rio Grande Sul Embedded World conference 27 February 2018

More information

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Overview ß Embedded Design Challenges ß Xilinx Embedded Platforms for Embedded Processing ß Introducing Spartan-6 and Virtex-6 FPGA Embedded Kits

More information

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved Hardware Design MicroBlaze 7.1 This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List the MicroBlaze 7.1 Features List

More information

Course Introduction. Purpose: Objectives: Content: Learning Time:

Course Introduction. Purpose: Objectives: Content: Learning Time: Course Introduction Purpose: This course provides an overview of the Renesas SuperH series of 32-bit RISC processors, especially the microcontrollers in the SH-2 and SH-2A series Objectives: Learn the

More information

Evaluating SiFive RISC- V Core IP

Evaluating SiFive RISC- V Core IP Evaluating SiFive RISC- V Core IP Drew Barbier January 2018 drew@sifive.com 3 Part Webinar Series Webinar Recordings and Slides: https://info.sifive.com/risc-v-webinar RISC-V 101 The Fundamentals of RISC-V

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://www.eece.maine.edu/ vweaver vincent.weaver@maine.edu 4 September 2014 Announcements HW#1 will be posted tomorrow (Friday), due next Thursday Working

More information

Comprehensive RISC-V Solutions for Diversified SoCs

Comprehensive RISC-V Solutions for Diversified SoCs Comprehensive RISC-V Solutions for Diversified SoCs 2018/11/13 2018 Andes RISC-V Con Santa Clara Charlie Su, Ph.D. CTO and SVP Agenda Diversified SoC Requirements AndeStar V5: Best Extensions to RISC-V

More information

«Real Time Embedded systems» Cyclone V SOC - FPGA

«Real Time Embedded systems» Cyclone V SOC - FPGA «Real Time Embedded systems» Cyclone V SOC - FPGA Ref: http://www.altera.com rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 SOC + FPGA (ex. Cyclone V,

More information

The Ultra-Low Power Open-source Core

The Ultra-Low Power Open-source Core The Ultra-Low Power Open-source Core to Accelerate the Spreading of RISC-V in China bob_hu@nucleisys.com June 2018 1 Agenda Personal Introduction The Status of RISC-V in China Our Passion of RISC-V in

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 7 September 2018 Announcements Reminder: The class notes are posted to the website. HW#1 will

More information

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Interconnects: AXI Protocol ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 AXI AMBA AXI protocol is targeted at high-performance,

More information

Xtensa 7 Configurable Processor Core

Xtensa 7 Configurable Processor Core FEATURES 32-bit synthesizable RISC architecture with 5-stage pipeline, 16/24-bit instruction encoding with modeless switching Designer-configurable processor options (MMU/MPU, local memory types and sizes,

More information

Design of Embedded Hardware and Firmware

Design of Embedded Hardware and Firmware Design of Embedded Hardware and Firmware Introduction on "System On Programmable Chip" NIOS II Avalon Bus - DMA Andres Upegui Laboratoire de Systèmes Numériques hepia/hes-so Geneva, Switzerland Embedded

More information

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG Adam Lindberg github.com/eproxus HARDWARE COMPONENTS SOFTWARE FUTURE Boot, Serial console, Erlang shell DEMO THE GRISP BOARD SPECS Hardware & specifications

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Thorsten Jungeblut 1, Johannes Ax 2, Gregor Sievers 2, Boris Hübener 2, Mario Porrmann 2, Ulrich Rückert 1 1 Cognitive

More information

High-Performance, Highly Secure Networking for Industrial and IoT Applications

High-Performance, Highly Secure Networking for Industrial and IoT Applications High-Performance, Highly Secure Networking for Industrial and IoT Applications Table of Contents 2 Introduction 2 Communication Accelerators 3 Enterprise Network Lineage Features 5 Example applications

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series. Ian Johnson Senior Product Manager, ARM

ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series. Ian Johnson Senior Product Manager, ARM ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series Ian Johnson Senior Product Manager, ARM 1 ARM Cortex Processors across the Embedded Market Cortex -M processors Cortex -R processors

More information

SoC Design Lecture 9: Platform Based Design. Shaahin Hessabi Department of Computer Engineering

SoC Design Lecture 9: Platform Based Design. Shaahin Hessabi Department of Computer Engineering SoC Design Lecture 9: Platform Based Design Shaahin Hessabi Department of Computer Engineering Design Methodologies TDD: Timing-Driven Design BBD: Block-Based B Design PBD: Platform-Based Design 2 Timing-Driven

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

Contents of this presentation: Some words about the ARM company

Contents of this presentation: Some words about the ARM company The architecture of the ARM cores Contents of this presentation: Some words about the ARM company The ARM's Core Families and their benefits Explanation of the ARM architecture Architecture details, features

More information

Digital Signal Processor Core Technology

Digital Signal Processor Core Technology The World Leader in High Performance Signal Processing Solutions Digital Signal Processor Core Technology Abhijit Giri Satya Simha November 4th 2009 Outline Introduction to SHARC DSP ADSP21469 ADSP2146x

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Current status of SOI / MPU and ASIC development for space

Current status of SOI / MPU and ASIC development for space The 23rd Microelectronics Workshop Current status of SOI / MPU and ASIC development for space Nov. 11 th 2010 Electronic Devices and Materials Group Aerospace Research and Development Directorate, JAXA

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Kinetis Software Optimization

Kinetis Software Optimization Kinetis Software Optimization Course Description This course provides all necessary theoretical and practical know-how to enhance performance with the Kinetis family. The course provides an in-depth overview

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information