Synthesis of Sequential Logic from Behavioral Code

Size: px
Start display at page:

Download "Synthesis of Sequential Logic from Behavioral Code"

Transcription

1 It s all about the flip-flop. Synthesis of Sequential Logi from Behavioral Code Storage devies are the distinguishing feature that differentiate ombinational and sequential logi. Why sequential logi is so muh harder than ombinational logi. Inferene: There isn t an operator that synthesizes to a flip-flop as there is, say, with + for addition. Logi Design: Designs are trikier it s not just what will happen it s not even just when it will happen but whether this happens before that or after that. Verilog Subtleties: Those ignorant of Verilog timing may be tormented with seemingly arbitrary errors or behavior. omb 1 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

2 Enounter s Generi Flip-Flop: flop. Inferene of Registers flop features: apre srl Is positive edge triggered (). flop Has input d and output q. d q Has asynhronous preset (apre) and lear (alr). Has a syn. enable (sena) input. sena alr srd omb 2 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

3 Enounter s Generi Flip-Flop: flop. Inferene and Mapping During elaboration flop used for all inferred edge-triggered registers. During tehnology mapping flop replaed with registers from tehnology library. apre srl d sena flop alr srd q omb 3 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

4 Classroom Hardware Diagrams The term register will be used for one or more flip-flops. For inferred and optimized hardware will use streamlined diagrams, omitting unused inputs: apre srl d flop q enable data register_en en D Q val sena alr srd omb 4 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

5 Edge-Triggered Flip-Flop Inferene Inferene Seleting a hardware omponent orresponding to a piee of Verilog behavioral ode. Performed by a synthesis program. Relationship between behavioral Verilog and inferred hardware is determined by the synthesis program not by the Verilog standard or any other standard doument. omb 5 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

6 Edge-Triggered Flip-Flop Inferene Rules These Inferene Rules Based on Enounter RTL Compiler. Referene: HDL Modeling in Enounter RTL Compiler 14.2 April For inferene of edge-triggered register R loked by : R must be a variable type. R must be assigned in exatly one always blok and must be either onsistently bloking (R=x;) or onsistently non-bloking (R<=x;). The always blok must start with always or always ff. The always must be followed by an event ontrol of the posedge,...). omb 6 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

7 Simple Register module register #( int width = 16 ) ( output logi [width-1:0] val, input uwire [width-1:0] data, input uwire ); data register D Q val posedge ) val <= data; endmodule t data val omb 7 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

8 Register with Enable module register_en #( int width = 16 ) ( output logi [width-1:0] val, input uwire enable, input uwire [width-1:0] data, input uwire ); enable data register_en en D Q val posedge ) if ( enable ) val <= data; endmodule t enable data val omb 8 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

9 Clok with Reset Note multiple C values. ount_reset module ount_reset #( int bits = 16 ) ( output logi [bits-1:0], input uwire reset, input uwire ); 16'd1 reset + 16'd0 D posedge ) if ( reset ) <= 0; else <= + 1; endmodule omb 9 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

10 Threshold Output module ount_thd #( int bits = 16 ) ( output logi [bits-1:0], output logi over_th, input uwire [bits-1:0] threshold, input uwire ); posedge ) begin = + 1; over_th = > threshold; end endmodule threshold 16'd1 + ount_thd D over_th t D over_th 5 threshold over_th over_th omb 10 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

11 Two Issues: Critial path through adder/omparison unit. Do we really want a flip-flop for over th? t ount_thd threshold 16'd1 + over_th D D over_th threshold over_th over_th 5 omb 11 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

12 Fix ritial path issue. module ount_thd_alt2 #( int bits = 16 ) ( output logi [bits-1:0], output logi over_th, input uwire [bits-1:0] threshold, input uwire ); posedge ) begin over_th = > threshold; = + 1; end threshold 16'd1 ount_thd + over_th D D over_th endmodule omb 12 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

13 Reat any time to threshold, not just at positive edge. module ount_thd_alt #( int bits = 16 ) ( output logi [bits-1:0], output logi over_th, input uwire [bits-1:0] threshold, input uwire ); threshold 16'd1 ount_thd + D posedge ) <= + 1; over_th always_omb over_th = > threshold; endmodule omb 13 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

14 Example: Sequential Shifter Remember: We an build an n-bit shifter using log 2 n 2 i -bit shifters and 2-input muxen. Why not use one fixed shifter and use it up to n 1 times? Why not use < log 2 n shifters and muxen but use them multiple times? We ll start with one fixed shifter. omb 14 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

15 Idea sketh for sequential shifter. shift_lt_seq sf shift_ xed trips thru shifter un un t Pass value through shifter times. omb 15 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

16 Idea sketh for sequential shifter. shift_lt_seq sf shift_ xed un un start Magi Cloud tm 4'd1 ready Use register to ount number of times. omb 16 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

17 Timing. shift_lt_seq 0 sf shift_ xed 1 un un start Magi Cloud tm 4'd1 ted 2 ready r : External devie provides inputs. Inputs assumed to be available early in lok yle. ted omb 17 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

18 Timing. shift_lt_seq 0 sf shift_ xed 1 un un start Magi Cloud tm 4'd1 ted 2 ready r : At positive edge: initialized to. ted initialized to un. omb 18 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

19 Timing. shift_lt_seq 0 sf shift_ xed 1 un un start Magi Cloud tm 4'd1 ted 2 ready r : Early in Cyle 1: ready goes to zero. ted omb 19 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

20 Timing. shift_lt_seq 0 sf shift_ xed 1 un un start Magi Cloud tm 4'd1 ted 2 ready r : During yles 1 and 2: New value of ount is omputed, shift performed. ted omb 20 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

21 Timing. shift_lt_seq 0 sf shift_ xed 1 un un start Magi Cloud tm 4'd1 ted 2 ready r : Beginning of yle 3: Ready signal set to 1. ted omb 21 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

22 Notes about behavior. Start signal must be stable at positive edge. Inputs required to be available early in lok yle. Result available at beginning of lok yle. Ready signal available early in lok yle. omb 22 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

23 Sequential Shifter Verilog module shift_lt_seq #( int wid_lg = 4, int wid = 1 << wid_lg ) ( output logi [wid-1:0], output uwire ready, input [wid-1:0] un, input [wid_lg-1:0], input start, input ); uwire [wid-1:0] sf_out; shift_fixed #(wid_lg,1) sf( sf_out,, 1 b1 ); // Fixed Shifter logi [wid_lg-1:0] ; posedge ) if ( start == 1 ) begin = un; // Load a new item to shift... = ; //.. and initialize amount. end else if ( > 0 ) begin end = sf_out; // Shift by one more bit.. --; //.. and update ount. assign ready = == 0; // Set ready to 1 when ount is zero. endmodule omb 23 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

24 Inferred Hardware, No Optimization module shift_lt_seq #( int wid_lg = 4, int wid = 1 << wid_lg ) ( output logi [wid-1:0], output uwire ready, input [wid-1:0] un, input [wid_lg-1:0], input start, input ); 1'd1 sf shift_ xed wid_lg=4 =1 t shift_lt_seq uwire [wid-1:0] sf_out; shift_fixed #(wid_lg,1) sf(sf_out,,1 b1); logi [wid_lg-1:0] ; start 4'd0 > 0 posedge ) if ( start == 1 ) begin un = un; = ; end else if ( > 0 ) begin 4'd1 = sf_out; --; 4'd0 r ready end else begin = ; = ; end assign ready = == 0; omb 24endmodule LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

25 Inferred Hardware, No Optimization sf shift_ xed wid_lg=4 =1 shift_lt_seq 1 0 1'd1 t start 4'd0 > 0 un ted 2 4'd1 r 'd0 r ready ted omb 25 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

26 t s sf shift_ xed wid_lg=4 =1 shift_lt_seq 0 1'd1 t 1 start 4'd0 > 0 un 4'd1 ted 'd0 r ready r Pay Attention To ted Setup delay: inputs to registers. Operation delay: register to register. Output delay: generation of the ready signal. omb 26 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

27 Streamlining and Optimization Streamline hardware illustration to make it readable. Inlude optimizations we hope synthesis program will make. Optimization Opportunities Use an enable for registers. Shifter is just a bit renaming plus one zero. The three operations on, > 0, 1, and == an all be done by the same logi. omb 27 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

28 m l sf shift_ xed wid_lg=4 =1 shift_lt_seq shift_lt_seq wid_lg=4 1'd1 t start 4'd0 > 0 start v v 1'd0 en un un 4'd1 en 4'd0 r ready ready omb 28 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

29 Sequential Shifter with Multiple Shifters For example: Shift x by 9 bits. num_shifters=2 Use a sequential shifter with 4-bit and 1-bit shifters. Shift by 4-bits twie and by 1-bit one. Features shift_ xed wid_lg=4, =1 shift un shift_ ed wid_lg=4, =4 un shift The register divided into multiple segments. Fixed shifter may or may not shift. start Magi Cloud tm 4'd1 4'd1 ready omb 29 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

30 Performane Analysis and Design Optimization Goal: Choose the best shifter for some larger design. omb 30 LSU EE 4755 Leture Transpareny. Formatted 9:23, 6 Otober 2017 from lsli-syn-seq. syn omb

Synthesis of Sequential Logic from Behavioral Code

Synthesis of Sequential Logic from Behavioral Code It s all about the flip-flop. Synthesis of Sequential Logi from Behavioral Code Storage devies are the distinguishing feature...... that differentiate ombinational and sequential logi. Why sequential logi

More information

Spiral 1 / Unit 6. Flip-flops and Registers

Spiral 1 / Unit 6. Flip-flops and Registers 1-5.1 Spiral 1 / Unit 6 Flip-flops and Registers 1-5.2 Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at

More information

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis ECE 4514 Digital Design II A Tools/Methods Lecture Second half of Digital Design II 9 10-Mar-08 L13 (T) Logic Synthesis PJ2 13-Mar-08 L14 (D) FPGA Technology 10 18-Mar-08 No Class (Instructor on Conference)

More information

Digital Design using HDLs EE 4755 Final Examination

Digital Design using HDLs EE 4755 Final Examination Name Solution Digital Design using HDLs EE 4755 Final Examination Monday, 8 December 214 1:-12: CST Alias Not Synthesizable Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (2 pts) (2 pts)

More information

Verilog Execution Semantics

Verilog Execution Semantics System Verilog (SV) is a parallel, hardware description language. SV differs from procedural languages such as C in that it models concurrency in digital logic. Logic gates operate in parallel, but software

More information

EN164: Design of Computing Systems Lecture 07: Lab Foundations / Verilog 3

EN164: Design of Computing Systems Lecture 07: Lab Foundations / Verilog 3 EN164: Design of Computing Systems Lecture 07: Lab Foundations / Verilog 3 Professor Sherief Reda http://scaleenginbrownedu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

Digital Design using HDLs EE 4755 Midterm Examination

Digital Design using HDLs EE 4755 Midterm Examination Name Digital Design using HDLs EE 4755 Midterm Examination Monday, 1 November 214 11:3 12:2 CST Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Exam Total (2 pts) (2 pts) (1 pts) (15

More information

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period 1-5.1 1-5.2 Spiral 1 / Unit 6 Flip flops and Registers Mark Redekopp Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput,

More information

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 Professor Sherief Reda http://scaleenginbrownedu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

Digital Design Using Verilog EE Final Examination

Digital Design Using Verilog EE Final Examination Name Digital Design Using Verilog EE 4702-1 Final Examination 8 May 2000, 7:30 9:30 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (100 pts) Good Luck! Problem 1: The modules below

More information

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran CAD for VLSI Design - I Lecture 21 V. Kamakoti and Shankar Balachandran Overview of this Lecture Understanding the process of Logic synthesis Logic Synthesis of HDL constructs Logic Synthesis What is this?

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Midterm Exam Review When / Where Monday, 16 October 2017, 9:30-10:20 CDT 225 Tureaud Hall (Here) Conditions Closed Book, Closed Notes Bring one

More information

Last Lecture: Divide by 3 FSM

Last Lecture: Divide by 3 FSM Last Lecture: Divide by 3 FSM Output should be 1 every 3 clock cycles S2 S0 S1 The double circle indicates the reset state Slide derived from slides by Harris & Harris from their book 1 Finite State Machines

More information

Digital Design using HDLs EE 4755 Midterm Examination

Digital Design using HDLs EE 4755 Midterm Examination Name Digital Design using HDLs EE 4755 Midterm Examination Monday, 16 October 217 9:3 1:2 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Exam Total (2 pts) (2 pts) (2 pts) (15 pts)

More information

Lecture #2: Verilog HDL

Lecture #2: Verilog HDL Lecture #2: Verilog HDL Paul Hartke Phartke@stanford.edu Stanford EE183 April 8, 2002 EE183 Design Process Understand problem and generate block diagram of solution Code block diagram in verilog HDL Synthesize

More information

Programming with HDLs

Programming with HDLs Programming with HDLs Paul Chow February 11, 2008 1 Introduction The purpose of this document is to encourage the proper approach or mindset for programming in a hardware description language (HDL), particularly

More information

Digital Design with SystemVerilog

Digital Design with SystemVerilog Digital Design with SystemVerilog Prof. Stephen A. Edwards Columbia University Spring 25 Synchronous Digital Design Combinational Logic Sequential Logic Summary of Modeling Styles Testbenches Why HDLs?

More information

Quick Introduction to SystemVerilog: Sequental Logic

Quick Introduction to SystemVerilog: Sequental Logic ! Quick Introduction to SystemVerilog: Sequental Logic Lecture L3 8-545 Advanced Digital Design ECE Department Many elements Don Thomas, 24, used with permission with credit to G. Larson Today Quick synopsis

More information

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control ECE 4514 Digital Design II Lecture 15: FSM-based Control A Design Lecture Overview Finite State Machines Verilog Mapping: one, two, three always blocks State Encoding User-defined or tool-defined State

More information

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period 1-6.1 1-6.2 Spiral 1 / Unit 6 Flip flops and Registers Mark Redekopp Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput,

More information

Digital Design using HDLs EE 4755 Midterm Examination

Digital Design using HDLs EE 4755 Midterm Examination Name Digital Design using HDLs EE 4755 Midterm Examination Wednesday, 28 October 2015 11:30 12:20 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (100 pts) Good Luck! Problem 1:

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

Multiple Assignments

Multiple Assignments Two Outputs Conneted Together Multiple Assignments Two Outputs Conneted Together if (En1) Q

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits M1 Informatique / MOSIG Introduction to Modeling and erification of Digital Systems Part 4: HDL for sequential circuits Laurence PIERRE http://users-tima.imag.fr/amfors/lpierre/m1arc 2017/2018 81 Sequential

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

On - Line Path Delay Fault Testing of Omega MINs M. Bellos 1, E. Kalligeros 1, D. Nikolos 1,2 & H. T. Vergos 1,2

On - Line Path Delay Fault Testing of Omega MINs M. Bellos 1, E. Kalligeros 1, D. Nikolos 1,2 & H. T. Vergos 1,2 On - Line Path Delay Fault Testing of Omega MINs M. Bellos, E. Kalligeros, D. Nikolos,2 & H. T. Vergos,2 Dept. of Computer Engineering and Informatis 2 Computer Tehnology Institute University of Patras,

More information

CMPE 415 Full and Parallel Case

CMPE 415 Full and Parallel Case Department of Computer Science and Electrical Engineering CMPE 415 Full and Parallel Case Prof. Ryan Robucci Some examples and discussion are cited from Clifford E. Cummings' "full_case parallel_case",

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

ECEU530. Homework 4 due Wednesday Oct 25. ECE U530 Digital Hardware Synthesis. VHDL for Synthesis with Xilinx. Schedule

ECEU530. Homework 4 due Wednesday Oct 25. ECE U530 Digital Hardware Synthesis. VHDL for Synthesis with Xilinx. Schedule EEU530 EE U530 igital Hardware Synthesis Lecture 11: Prof. Miriam Leeser mel@coe.neu.edu October 18, 2005 Sequential Logic in VHL Finite State Machines in VHL Project proposals due now HW 4 due Wednesday,

More information

VLSI II E. Özgür ATES

VLSI II E. Özgür ATES VERILOG TUTORIAL VLSI II E. Özgür ATES Outline Introduction Language elements Gate-level modeling Data-flow modeling Behavioral modeling Modeling examples Simulation and test bench Hardware Description

More information

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited April 2, 2009 John Wawrzynek Spring 2009 EECS150 - Lec20-fsm Page 1 Finite State Machines (FSMs) FSM circuits are a type of sequential

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 Xilinx FPGAs Chapter 7 Spartan 3E Architecture Source: Spartan-3E FPGA Family Datasheet CLB Configurable Logic Blocks Each CLB contains four slices Each slice

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

EE 231 Fall EE 231 Homework 8 Due October 20, 2010 EE 231 Homework 8 Due October 20, 20 1. Consider the circuit below. It has three inputs (x and clock), and one output (z). At reset, the circuit starts with the outputs of all flip-flops at 0. x z J Q

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

EE178 Lecture Verilog FSM Examples. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Verilog FSM Examples. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Verilog FSM Examples Eric Crabill SJSU / Xilinx Fall 2007 In Real-time Object-oriented Modeling, Bran Selic and Garth Gullekson view a state machine as: A set of input events A set of output

More information

Verilog for Synthesis Ing. Pullini Antonio

Verilog for Synthesis Ing. Pullini Antonio Verilog for Synthesis Ing. Pullini Antonio antonio.pullini@epfl.ch Outline Introduction to Verilog HDL Describing combinational logic Inference of basic combinational blocks Describing sequential circuits

More information

Abstraction of State Elements. Sequential Logic Implementation. Forms of Sequential Logic. Finite State Machine Representations

Abstraction of State Elements. Sequential Logic Implementation. Forms of Sequential Logic. Finite State Machine Representations Sequential ogic Implementation! Models for representing sequential circuits " Finite-state machines (Moore and Mealy) " epresentation of memory (states) " hanges in state (transitions)! Design procedure

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

Last Lecture. Talked about combinational logic always statements. e.g., module ex2(input logic a, b, c, output logic f); logic t; // internal signal

Last Lecture. Talked about combinational logic always statements. e.g., module ex2(input logic a, b, c, output logic f); logic t; // internal signal Last Lecture Talked about combinational logic always statements. e.g., module ex2(input logic a, b, c, output logic f); logic t; // internal signal always_comb t = a & b; f = t c; should use = (called

More information

Digital Design using HDLs EE 4755 Final Examination

Digital Design using HDLs EE 4755 Final Examination Name Digital Design using HDLs EE 4755 Final Examination Monday, 8 December 2014 10:00-12:00 CST Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (20 pts) (20 pts) (20 pts) (20 pts) (20

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

ECE 4514 Digital Design II. Spring Lecture 2: Hierarchical Design

ECE 4514 Digital Design II. Spring Lecture 2: Hierarchical Design ECE 4514 Digital Design II Spring 2007 Abstraction in Hardware Design Remember from last lecture that HDLs offer a textual description of a netlist. Through abstraction in the HDL, we can capture more

More information

Designing Safe Verilog State Machines with Synplify

Designing Safe Verilog State Machines with Synplify Designing Safe Verilog State Machines with Synplify Introduction One of the strengths of Synplify is the Finite State Machine compiler. This is a powerful feature that not only has the ability to automatically

More information

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 98-1 Under-Graduate Project Synthesis of Combinational Logic Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 What is synthesis? Outline Behavior Description for Synthesis Write Efficient HDL

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines ECE 2300 Digital Logic & Computer Organization Spring 2018 More Verilog Finite Machines Lecture 8: 1 Prelim 1, Thursday 3/1, 1:25pm, 75 mins Arrive early by 1:20pm Review sessions Announcements Monday

More information

SystemVerilog HDL - a programming language

SystemVerilog HDL - a programming language SystemVerilog HDL - a programming language module hdl1; integer A, B, C; initial begin A = 3; B = 10; $display( A, B, C ); C = A+B; $display( A, B, C ); for ( A = 3 ; A > 0 ; A = A-1 ) begin C = C*B; $display(

More information

LSU EE 4755 Homework 1 Solution Due: 9 September 2015

LSU EE 4755 Homework 1 Solution Due: 9 September 2015 LSU EE 4755 Homework 1 Solution Due: 9 September 2015 The questions below can be answered without using EDA software, paper and pencil will suffice. Please turn in the solution on paper. Homework 2 will

More information

Synthesizable Watchdog Logic: A Key Coding Strategy for Managing Complex Designs

Synthesizable Watchdog Logic: A Key Coding Strategy for Managing Complex Designs System, Inc 1 Synthesizable Watchdog Logic: A Key Coding Strategy for Managing Complex Designs Wilson Snyder. 1 The Fundamental Design Issue 2 Even with the oddities of Verilog the actual writing of HDL

More information

Modeling Synchronous Logic Circuits. Debdeep Mukhopadhyay IIT Madras

Modeling Synchronous Logic Circuits. Debdeep Mukhopadhyay IIT Madras Modeling Synchronous Logic Circuits Debdeep Mukhopadhyay IIT Madras Basic Sequential Circuits A combinational circuit produces output solely depending on the current input. But a sequential circuit remembers

More information

ARM 64-bit Register File

ARM 64-bit Register File ARM 64-bit Register File Introduction: In this class we will develop and simulate a simple, pipelined ARM microprocessor. Labs #1 & #2 build some basic components of the processor, then labs #3 and #4

More information

Verilog Lecture Gandhi Puvvada, USC always statements, Coding a Flip-Flop. blocking and non-blocking assignments. Copyright 2008 Gandhi Puvvada 1

Verilog Lecture Gandhi Puvvada, USC always statements, Coding a Flip-Flop. blocking and non-blocking assignments. Copyright 2008 Gandhi Puvvada 1 EE201L and EE560 Verilog Lecture by Gandhi Puvvada, USC always statements, t t Coding a Flip-Flop Counters, Basics of Data Path, blocking and non-blocking assignments Copyright 2008 Gandhi Puvvada 1 always

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information

EECS 470: Computer Architecture. Discussion #2 Friday, September 14, 2007

EECS 470: Computer Architecture. Discussion #2 Friday, September 14, 2007 EECS 470: Computer Architecture Discussion #2 Friday, September 14, 2007 Administrative Homework 1 due right now Project 1 due tonight Make sure its synthesizable Homework 2 due week from Wednesday Project

More information

VERILOG: FLIP-FLOPS AND REGISTERS

VERILOG: FLIP-FLOPS AND REGISTERS VERILOG: FLIP-FLOPS AND REGISTERS Semiconductor Memories Single-bit or Memory (Foreground) Individual memory circuits that store a single bit of information and have at least a 1) data input, 2) data output,

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing asynchronous circuit 4. Inference of basic memory elements

More information

Compiling a Parallel DSL to GPU

Compiling a Parallel DSL to GPU Compiling Prllel DSL to GPU Rmesh Nrynswmy Bdri Gopln Synopsys In. Synopsys 2012 1 Agend Overview of Verilog Simultion Prllel Verilog Simultion Algorithms Prllel Simultion Trdeoffs on GPU Chllenges Synopsys

More information

EE178 Spring 2018 Lecture Module 4. Eric Crabill

EE178 Spring 2018 Lecture Module 4. Eric Crabill EE178 Spring 2018 Lecture Module 4 Eric Crabill Goals Implementation tradeoffs Design variables: throughput, latency, area Pipelining for throughput Retiming for throughput and latency Interleaving for

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 3316R P. F. Taylor Hall 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering VERILOG FUNDAMENTALS HDLs HISTORY HOW FPGA & VERILOG ARE RELATED CODING IN VERILOG HDLs HISTORY HDL HARDWARE DESCRIPTION LANGUAGE

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 4 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University BCD TO EXCESS-3 CODE CONVERTER 0100 0101 +0011 +0011 0111 1000 LSB received first Chung

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

Building Bigger Systems: Hardware Threads

Building Bigger Systems: Hardware Threads ! uilding igger Systems: Hardware Threads Lecture L06 18-4 dvanced igital esign ECE epartment Many elements on Thomas, 2014, used with permission with credit to G. Larson Today We build on our knowledge

More information

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language COMS W4995-02 Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language Originally a modeling language for a very efficient event-driven

More information

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis Logic Synthesis Verilog and VHDL started out as simulation languages, but quickly people wrote programs to automatically convert Verilog code into low-level circuit descriptions (netlists). EECS150 - Digital

More information

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE COMP 12111 Two hours - online This paper version is made available as a backup In this event, only MCQ answers written in the boxes on the exam paper will be marked. EXAM PAPER MUST NOT BE REMOVED FROM

More information

Readings: Storage unit. Can hold an n-bit value Composed of a group of n flip-flops. Each flip-flop stores 1 bit of information.

Readings: Storage unit. Can hold an n-bit value Composed of a group of n flip-flops. Each flip-flop stores 1 bit of information. Registers Readings: 5.8-5.9.3 Storage unit. Can hold an n-bit value Composed of a group of n flip-flops Each flip-flop stores 1 bit of information ff ff ff ff 178 Controlled Register Reset Load Action

More information

Writing Circuit Descriptions 8

Writing Circuit Descriptions 8 8 Writing Circuit Descriptions 8 You can write many logically equivalent descriptions in Verilog to describe a circuit design. However, some descriptions are more efficient than others in terms of the

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 11 Introduction to Verilog II Sequential Circuits OBJECTIVES: To understand the concepts

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification Verilog Fundamentals Verilog Tutorial History Data types Structural Verilog Functional Verilog Adapted from Krste Asanovic Originally designers used manual translation + bread boards for verification Hardware

More information

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis Synthesis of Language Constructs 1 Nets Nets declared to be input or output ports are retained Internal nets may be eliminated due to logic optimization User may force a net to exist trireg, tri0, tri1

More information

General Coding Style Guidelines 5

General Coding Style Guidelines 5 5 General Coding Style Guidelines 5 This chapter lists some general guidelines for writing HDL. Unintentional Latch Inference Incompletely specified if statements and case statements cause the HDL Compiler

More information

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification Verilog Fundamentals Verilog Tutorial History Data types Structural Verilog Functional Verilog Adapted from Krste Asanovic Originally designers used manual translation + bread boards for verification Hardware

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis September 26, 2002 John Wawrzynek Fall 2002 EECS150 Lec10-synthesis Page 1 Logic Synthesis Verilog and VHDL stated out as simulation languages, but quickly

More information

Nonblocking Assignments in Verilog Synthesis; Coding Styles That Kill!

Nonblocking Assignments in Verilog Synthesis; Coding Styles That Kill! Nonblocking Assignments in Verilog Synthesis; Coding Styles That Kill! by Cliff Cummings Sunburst Design, Inc. Abstract -------- One of the most misunderstood constructs in the Verilog language is the

More information

Verilog Module 1 Introduction and Combinational Logic

Verilog Module 1 Introduction and Combinational Logic Verilog Module 1 Introduction and Combinational Logic Jim Duckworth ECE Department, WPI 1 Module 1 Verilog background 1983: Gateway Design Automation released Verilog HDL Verilog and simulator 1985: Verilog

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

Analysis of input and output configurations for use in four-valued CCD programmable logic arrays

Analysis of input and output configurations for use in four-valued CCD programmable logic arrays nalysis of input and output onfigurations for use in four-valued D programmable logi arrays J.T. utler H.G. Kerkhoff ndexing terms: Logi, iruit theory and design, harge-oupled devies bstrat: s in binary,

More information

Introduction. Why Use HDL? Simulation output. Explanation

Introduction. Why Use HDL? Simulation output. Explanation Introduction Verilog HDL is a Hardware Description Language (HDL) HDL is a language used to describe a digital system, for example, a computer or a component of a computer. Most popular HDLs are VHDL and

More information

Register Transfer Level

Register Transfer Level Register Transfer Level Something between the logic level and the architecture level A convenient way to describe synchronous sequential systems State diagrams for pros Hierarchy of Designs The design

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Lecture 32: SystemVerilog

Lecture 32: SystemVerilog Lecture 32: SystemVerilog Outline SystemVerilog module adder(input logic [31:0] a, input logic [31:0] b, output logic [31:0] y); assign y = a + b; Note that the inputs and outputs are 32-bit busses. 17:

More information

Introduction To HDL. Verilog HDL. Debdeep Mukhopadhyay Dept of CSE, IIT Madras 1

Introduction To HDL. Verilog HDL. Debdeep Mukhopadhyay Dept of CSE, IIT Madras 1 Introduction To HDL Verilog HDL Debdeep Mukhopadhyay debdeep@cse.iitm.ernet.in Dept of CSE, IIT Madras 1 How it started! Gateway Design Automation Cadence purchased Gateway in 1989. Verilog was placed

More information

The process. Sensitivity lists

The process. Sensitivity lists The process process itself is a concurrent statement but the code inside the process is executed sequentially Process label (optional) Process declarative region Process body entity Test is, : in bit;

More information

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture Assignment Last time Project 4: Using synthesis tools Synplify Pro and Webpack Due 11/11 ning of class Generics Used to parameterize models E.g., Delay, bit width Configurations Configuration specification

More information

Course Details: Webpage

Course Details: Webpage Course Details: Webpage What you will be able to do after this class.! Write top-notch System Verilog! Employ top-notch HW Design Practices! Design your own processor! Design pipelined hardware! Design

More information

Homework deadline extended to next friday

Homework deadline extended to next friday Norm Midterm Grading Finished Stats on course homepage Pickup after this lab lec. Regrade requests within 1wk of posted solution Homework deadline extended to next friday Description Design Conception

More information

Testbenches for Sequential Circuits... also, Components

Testbenches for Sequential Circuits... also, Components ! Testbenches for Sequential Circuits... also, Components Lecture L04 18-545 Advanced Digital Design ECE Department Many elements Don Thomas, 2014, used with permission with credit to G. Larson State Transition

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing async circuit 4. Inference of basic memory elements 5. Simple

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information