Multiple Assignments

Size: px
Start display at page:

Download "Multiple Assignments"

Transcription

1 Two Outputs Conneted Together Multiple Assignments Two Outputs Conneted Together if (En1) Q<=D1; xz if (En2) Q<=D2; Multiple Assignment Mutually exlusive means that Q<=D1 and Q<=D2 ould never happen together. If they were both in one if-else statement the ompiler would know they ould never happen together. Here both EN1 and EN2 might be true together. Possible Simulation Results The simulator will hoose one to do first. No one knows whih. The lasting result will be the final one. Possible Synthesis Results The ompiler hooses one result. The ompiler generates two flip-flops and ANDs the result. All outputs my be disonneted. Slide 44 Modified; January 13, 2001 John Knight Vrlg p. 85 Multiple Assignments If both statements are in the same proedure, the En2 would replae the En1 result in zero time. In synthesis this would mean the En2 result would take priority over En1. if (En1) Q=D1; if (En2) Q=D2; Bloking was used for flip flops, to ensures Q=D2 is done after Q=D1 and hene replaes Q=D1. Multiple Assignments If delays are put on the statements simulation ould give a glith. Synthesis would not. It would generate a iruit whih would give EN2 priority. if (En1) #2 Q<=D1; D1 D2 1D Q if (En2) #3 Q<=D2; EN1 C1 EN2 Clk 20. PROBLEM What happens here? if (En1) Q=D1; if (~En1) Q=D1; EN2 has priority over EN1 Comment on Slide 43 Modified; January 13, 2001 John Knight Vrlg p. 86

2 Demux Inferene from ase Using Case Statements Demux Inferene from ase wire [2,0] in; reg [7:0] Y; ase(in) 3 d0: Y=8 b ; 3 d1: Y=8 b ; 3 d2: Y=8 b ; 3 d3: Y=8 b ; 3 d4: Y=8 b ; 3 d5: Y=8 b ; 3 d6: Y=8 b ; 3 d7: Y=8 b ; ase Full Case All ases are overed. It avoids lathes Parallel Case (Mutually Exlusive) No two ases an be ative at one. It an be implemented as a mux. No undefined or parallel ases The ompiler an statially determine that all possible ases are overed. The ompiler an statially determine that no two ases are ative at one. in G DEMU Y [7:0] Comment Slide 45 on Slide 1 Modified; January 13, 2001 John Knight Vrlg p. 87 Multiple Assignment Rae (ont from previous page) Multiple Assignment Rae (ont from 21. PROBLEM What ommon simulation problem might be aused by this ode? wire lok, x, y; reg m,q; lok) : storage q <= m; or x or y) : mux if (lok) m = x; else m = y; ; x y lok 1 0 1D C1 This is a subtle simulation rae. Both the mux and the flip flop respond to lok. The flip flop, as per the rule uses nonbloking assigns. The mux, as per the rule uses bloking assigns. However on posedge lok the simulator might hoose the mux first. Then the mux ode would blok the flip flop until the mux had swithed. The atual iruit would apture the mux value before the lok swithed it. m m q Using Case There are several problems that an happen with ase statement synthesis. 1. If the ase is known to over all the possibilities the input ondition an assume it is said to be a full ase. Unfortunately the synthesizer will not know this unless ase overs all 2 N possibilities for an N bit ondition. If the synthesizer does not know it is a full ase, it will insert lathes. 2. If two different onditions may happen at one, they will ativate two different outputs at the same time. This is alled a nonparallel ase. Comment on Slide 44 Modified; January 13, 2001 John Knight Vrlg p. 88

3 Lath Inferene in Case Lath Inferene in Case Not Obvious Full-Case, Case with a restrited input reg [6:1] Y; or b or ) // if a,b, = 1,1,1 make 1=0 1 = (a&b&)? 0 : ase({a,b,1}) 3 d0: Y=000000; 3 d1: Y=000001; 3 d2: Y=000010; 3 d3: Y=000100; 3 d4: Y=001000; 3 d5: Y=010000; 3 d6: Y=100000; ase Apparent undefined ases 3 d7: Y=000000; a,b, = 1,1,1 annot our. Synthesis does not know that. Thus synthesis will infer 7 lathes. To avoid lathes Put in default d5: Y=010000; 3 d6: Y=100000; default: Y=000000; Better default A better default is: default: Y=xxxxxx; It gives the synthesizer more hoie. Slide 46 Modified; January 13, 2001 John Knight Vrlg p. 89 Not Obvious Full-Case If a ase ontains all 2 n ases no lathes will be generated. Not Obvious Full-Case If it ontains less than 2 n ases, lathes will be generated unless it is very obvious all ases are overed. Synthesizers do not look bak very far to determine if all ases are overed. Use Default The default statement does no harm if it is used and not needed. Always put in a default, whether you need it or not, unless you want the lathes. Plae xxxx as a the Default Output If you know the default will never be seleted by the ase, then you an put in anything you want. The logi that is easiest to minimize is x (don t are). Requiring the default to take some partiular value, like zero, an greatly inrease the size of the iruit. Comment on Slide 45 Modified; January 13, 2001 John Knight Vrlg p. 90

4 Nonparallel Case Nonparallel Case NOT Mutually Exlusive or y or z) ase(1 b1) x: Y=2 b01; y: Y=2 b10; z: Y=2 b11; default: Y=2 bxx; ase FORCE Mutually Exlusive (Parallel) or y or z) ase({x,y,z}) 3'b100: Y=2 b01; 3'b010: Y=2 b10; 3'b100: Y=2 b11; default: Y=2 bxx; ase Not Mutually Exlusive (nonparallel) Case Two ases an be ative at one. Priority enoder generated. x y z Synopsys Compiler Diretive Simulater treats as omments Tells Synopsys x, y and z an never happen at the same time. Designer must enfore this! Fore Parallel Case HPRI / BIN Y[1] Y[0] // synopsys parallel_ase avoids reating a priority enoder ase(1 b1) // synopsys parallel_ase x: Y=2 b01; y: Y=2 b10; z: Y=2 b11; default: Y=2 bxx; ase Not Reommed Slide 47 Modified; January 13, 2001 John Knight Vrlg p. 91 Parallel-Case Parallel-Case Whenever two or more lines of the ase statement may be seleted at one, the simulation exeutes the first line enountered in the listing. This is like a priority enoder. In a parallel ase, the synthesizer assumes some other iruit keeps two lines from being seleted at one. //synopsis diretives an be used to tell the synthesizer to fore a parallel-ase but one an also write the ode to expliitly say what is desired. This latter method is synthesizer indepent and keeps the simultation and synthesis in agreement. Suh ode may require the asex (or asez) ommand desribed on the next slide. Coding for full deoding, priority enoder, or parallel ase Y[1] Y[2] Y[3] Y[1] Y[2] Y[3] Y[1] Y[2] Y[3] or y or z) ase({x,y,z}) 3 b100 : Y=3 b100; 3 b010 : Y=3 b010; 3 b001 : Y=3 b001; default: Y=3 b000; ase Full deoding: Assumes more than one of x, y, z an be 1 and removes those ases. or y or z) asex({x,y,z}) 3 b1xx : Y=3 b001; 3 b01x : Y=3 b010; 3 b001 : Y=3 b001; default: Y=3 b000; ase Priority deoder Assumes more than one of x, y, z an be 1 but takes the first one as orret or y or z) asex({x,y,z}) 3 b1xx : Y=2 b100; 3 bx1x : Y=2 b010; 3 bxx1 : Y=2 b001; default: Y=2 bxxx; ase Parallel ase Assumes only one of x, y, z an be 1 at a time. Deps on some other iruit to enfore this. Comment on Slide 46 Modified; January 13, 2001 John Knight Vrlg p. 92

5 Using asez Using asez Allows Don t Cares In Case-items wire [3,1] in; reg [1:0] Y; always (in) asez(in) //or asex (in) 3 bxx1: Y=2 b01; 3 bx10: Y=2 b10; 3 b100: Y=2 b11; default Y=2 b00; ase Generates a priority enoder xx1 has the highest priority. Default Covers only 3 b000. in[1] in[2] 1n[3] HPRI / BIN Y[1] Y[0] Don t Cares In Right-Hand Side or y or z) ase({x,y,z}) 3 b001: Y=2 b01; 3 b010: Y=2 b10; 3 b100: Y=2 b11; default Y=2 bxx; ase Don t Cares Can Simplify Logi Don t fore the defaults to zero if you don t are. It makes the logi larger. Casez not neessary for output don t ares. asez slightly prefered over asex. Slide 48 Modified; January 13, 2001 John Knight Vrlg p. 93 Casex/asez For simulation Case treats a bit in a variable as having four possible values {0, 1, x, z}, thus x only mathes x, not 1 or 0. Casex treats x, z or? as a don t are whih an math 0, 1, x or z. Casez treats z or? as a don t are whih an math 0, 1, x or z, but x annot math 0 or 1. ase asex asez ase \data 0 1 x z ase \data 0 1 x z ase \data 0 1 x z x x x z,? z,? z,? For example: Given- aa = 3b'1x0; ase (aa) 3 b110:...// No math beause 1 does not math x with a ase statement. 3 b1x0:... // Mathes asex (aa) 3 b110:... // Mathes aa beause 1 does math x with a asex statement. 3 bx10:...// Mathes aa. asez (aa) 3 bxx0:... // x does not math 1 with a asez statement, although x mathes x. 3 bzz0:...// Mathes aa. Casex/asez For synthesis No x values ever propagate in synthesis. However x values in the simulation ause an unex peted math with asex. Using asez will avoid those problems. Don t ares in the outputs are fine for ase, asez or asex. Comment on Slide 47 Modified; January 13, 2001 John Knight Vrlg p. 94

6 Confusion Between Reg and Integer Confusion Between Reg and Integer Integers are 2 s Complement Negative Numbers Integers delarations default to a 32-bit 2 s omplement number. The ompiler will eventually deide how many bits are needed. Reg numbers are nonzero integers The length of registered numbers is given in the delaration. integer B,C; reg [4:0] ; = -5'd7; B = 10; C = B + ; Value of will hold a 5-bit {-7 in 2's omplement} Reg numbers are never negative, Hene is taken as 25. B will hold (32 or less bits) B + = = Did you want 35 or 3? Slide 49 Modified; January 13, 2001 John Knight Vrlg p. 95 Negative Numbers Two s Complement To hange a binary number to its two s omplement Change the exhange the ones and zeros, then add 1, ignore any off- arries from the add {-10 in 2's omplement} Sign Extension All two s ompliment numbers of different lengths must be sign exted when added. Thus: reg [4:0] x ; reg [5:0] y, z; z = {x[4], x} +y ; // sign ext x to 5 bits. If the bits represent unsigned numbers, then do not sign ext. Negative Numbers Comment on Slide 48 Modified; January 13, 2001 John Knight Vrlg p. 96

7 Confusion Between Reg and Integer Using For Loops For Building Iterative Hardware Build an 5-bit ripple-arry adder. reg [4:0] A, B, S; reg, _out; wire _in; // S is the sum, the arry or A or B) = _in; for(i=0; i <=4; i=i+1) {, S[i]} = A[i] + B[i] + ; _out = ; // Conatenate the outputs into a 2-bit vetor. A 4 B 4 A 3 B 3 A 2 B 2 A 1 B 1 A 0 B 0 _out _in S 4 S 3 S 2 S 1 S 0 Slide 50 Modified; January 13, 2001 John Knight Vrlg p. 97 Hardware Loops 1 Loops give multiple opies of a basi instane. The ode in the loop will be synthesized, a different instane for eah iteration. Output leads from one blok, with the same name as an input lead, will onnet between iterations. See the variable in the program. Hardware Loops While loops are partially supported for synthesis. They represent a onditional branh. All while loops must be broken by lok) statement. Thus:- lok) while ( b >9 (posedge lok); // break the zero delay loop b <= b+2; 2 b Add Σ CLK b Register 9 Compare < 1. Palnitakar, Verilog, Prentie Hall, 1998, p..285 Comment on Slide 49 Modified; January 13, 2001 John Knight Vrlg p. 98

8 Confusion Between Reg and Integer An Iterative Comparator Hardware Build an 5-bit omparator from bloks. reg [4:0] A, B; reg x, y; // S is the sum, the arry or B) x=0; y=0; // Above the highest order bit, the two are equal for(i=0; i <=4; i=i+1) x=(a[i] > B[i]) x; // A is larger at this bit or at a higher order bit. y=(b[i] > A[i]) y; // B is larger at this bit or at a higher order bit. 0 0 Y A 4 B 4 = = A 3 B 3 A 2 B 2 A 1 B 1 A 0 B 0 = = = = = = = = Y Y Y Y Y Y Y Y Y S 4 S 3 S 2 S 1 S 0 At the output: x,y = 0,0 means A=B, x,y = 1, 0 means A > B, x,y = 0,1 means A < B, Slide 51 Modified; January 13, 2001 John Knight Vrlg p. 99 Loops to Generate Iterative Ciruits This is an iterative omparator used as a lab in the Swithing Ciruits ourse at Carleton. Loops to Generate Iterative Ciruits It only ompares non-negative integers, where the number with the leftmost 1 is the largest. 22. PROBLEMS a. Write a for loop to alulate the parity of a 6-bit number. It should inludeif (data[i]) OddPar= ~OddPar; b. One way to hange a binary number to its two s omplement is: Start at the right hand side. Leave all bits unhanged until after the first 1 is found. Invert all bits to the left of the initial 1. Thus: 1001_1000 has omplement 0110_1000 Write a loop to generate suh a iruit. Comment on Slide 50n Modified; January 13, 2001 John Knight Vrlg p. 100

9 Confusion Between Reg and Integer Tell The Synthesizer What To Do Complier Diretives Written like omments // synopsys... The simulator will ignore them Direts synthesis. Simplifies some language problems. However it is nearly always possible to avoid them by proper oding. Thus simulation will agree with synthesis only if it was oded properly. Limits you to one ompiler. Makes formal verifiation diffiult. There are many of these ompiler diretives. Chek the Synopsys Manual Example Fore Asynhronous Reset module lath(q,d,c,r); input D,C,R; output Q; reg Q; // synopsys asynh_set_reset R or R) : if (R) Q = 0; else if (C) Q = D; Slide 52 Modified; January 13, 2001 John Knight Vrlg p. 101 Compiler Diretives Other Compiler Diretives // synopsys asyn_set_reset // synopsys syn_set_reset //synopsys asyn_set_reset_loal //synopsys one_hot applies diretive to speified signals in a named blok indiates only one of a list of signals is true at a time. Useful to show set and rest are never both applied at one. Compiler Diretives One of the more useful ompiler diretives is used to fore a partiular library module for arithmeti operations (next slide). Formal verifiation This is where the logi of a program is ompared weith the logi of another program. This is often done after inserting speial strutures only used for testing, or after had optimizations on a ompiled iruit. The verifiation programs have trouble with ompilier assertions. Comment on Slide 51 Modified; January 13, 2001 John Knight Vrlg p. 102

10 Foring Speifi Synopsys Designware Foring Speifi Synopsys Designware Synopsys uses designware to implement ounters, adders, omparators, et. Control the type of funtion used by inserting ompiler diretives into your ode. Example: Library DWO1 has two inrements, ripple arry rpl and arry look-ahead la. Fore the named blok bill to use a arry look-ahead inrementer. : bill //named proedure /* synopsys resoure billspeial: map_to_module = "DW01_in", implementation = "la", ops = "greasedinr"; */ ount = ount + 1; //synopsys label greasedinr Must insert only in a nonloked, named proedure or funtion. i.e not billspeial will be the name given this instantiation. "DW01_in" and "la" are from the Synopsys library DW01 The label applies to the most reently parsed funtion. ount = ount + 1 // synopsys label greasedinr Slide 53 Modified; January 13, 2001 John Knight Vrlg p. 103 Mapping to a Speifi Library Module Named Proedures Mapping to a Speifi Library Module peifially map an operation it must be inside a named proedure. named by writing the name after. or... : bill... Meanings of the mapping labels // synopsys label greasedinr labels the + operation with name greasedinr. This label is bound to the instantiation named billspeial by the ops = greasedin ; statement. The resoure is module DW01_in, in the designware library DW01 The speifi implementation in the library is la. Libraries are fairly automati The simulator will automatially hoose an implementation for your riteria. Experiene with Adders The DW01 library has (1999) had five adders. For a 4 to 7 bit adds in a Viterbi deoder, a Carleton graduate student, Youxing Zhao found: The onditional sum adder (sa) was the fastest 1. The ripple arry adder (rpl) was seond and signifiantly slower. The fast arry look-ahead (lf) was third. The Brent-Kung (bk) and the arry look-ahead adder (la) were last and about the same. 1. A. Bellaouar and M Elmasary, Low-powered Digital VLSI Design Ciruits and Systems, Kluwer 1995, p.424 has a good summary of the sa. Eah full adder alulates (S1,C1) and (S0, C0) whih are the sum and arry for a arry-in of 1 and 0 respetively. Then muxs are used to selet the appropriate answer. Comment on Slide 52 Modified; January 13, 2001 John Knight Vrlg p. 104

11 Guidelies: Guidelies: Summary Partition FSMs into next-state al, outputs and registers. Use <= in the register proedure; use = in the others. In proedures: Feed all right-hand side variables through the trigger list (unless also on the left side.) Make all branhes evaluate all left-hand side variables. If you are using negative numbers, add/sub only registers of equal length, and do sign extensions. Do not have the same left-hand side variable stored in two different proedures. For ase statements: Always use a default at the. Use asez if there are don t ares in the ontrol. Use x for don t are outputs to minimize logi. Flip-flops proedures must lk ) lk or...edge reset) Slide 54 Modified; January 13, 2001 John Knight Vrlg p. 105 Mapping to a Speifi Library Module Comment on Slide 53 Modified; January 13, 2001 John Knight Vrlg p. 106

Verilog For Synthesis

Verilog For Synthesis Coding with always @(...) Coding with always @(...) always This is separate from the @(...) command. In C procedures are executed when called. In Verilog procedures are executed continuously by default.

More information

On - Line Path Delay Fault Testing of Omega MINs M. Bellos 1, E. Kalligeros 1, D. Nikolos 1,2 & H. T. Vergos 1,2

On - Line Path Delay Fault Testing of Omega MINs M. Bellos 1, E. Kalligeros 1, D. Nikolos 1,2 & H. T. Vergos 1,2 On - Line Path Delay Fault Testing of Omega MINs M. Bellos, E. Kalligeros, D. Nikolos,2 & H. T. Vergos,2 Dept. of Computer Engineering and Informatis 2 Computer Tehnology Institute University of Patras,

More information

This fact makes it difficult to evaluate the cost function to be minimized

This fact makes it difficult to evaluate the cost function to be minimized RSOURC LLOCTION N SSINMNT In the resoure alloation step the amount of resoures required to exeute the different types of proesses is determined. We will refer to the time interval during whih a proess

More information

CleanUp: Improving Quadrilateral Finite Element Meshes

CleanUp: Improving Quadrilateral Finite Element Meshes CleanUp: Improving Quadrilateral Finite Element Meshes Paul Kinney MD-10 ECC P.O. Box 203 Ford Motor Company Dearborn, MI. 8121 (313) 28-1228 pkinney@ford.om Abstrat: Unless an all quadrilateral (quad)

More information

Background/Review on Numbers and Computers (lecture)

Background/Review on Numbers and Computers (lecture) Bakground/Review on Numbers and Computers (leture) ICS312 Mahine-Level and Systems Programming Henri Casanova (henri@hawaii.edu) Numbers and Computers Throughout this ourse we will use binary and hexadeimal

More information

Pipelined Multipliers for Reconfigurable Hardware

Pipelined Multipliers for Reconfigurable Hardware Pipelined Multipliers for Reonfigurable Hardware Mithell J. Myjak and José G. Delgado-Frias Shool of Eletrial Engineering and Computer Siene, Washington State University Pullman, WA 99164-2752 USA {mmyjak,

More information

Design of High Speed Mac Unit

Design of High Speed Mac Unit Design of High Speed Ma Unit 1 Harish Babu N, 2 Rajeev Pankaj N 1 PG Student, 2 Assistant professor Shools of Eletronis Engineering, VIT University, Vellore -632014, TamilNadu, India. 1 harishharsha72@gmail.om,

More information

Department of Electrical Engineering and Computer Science MASSACHUSETTS INSTITUTE OF TECHNOLOGY Fall Test I Solutions

Department of Electrical Engineering and Computer Science MASSACHUSETTS INSTITUTE OF TECHNOLOGY Fall Test I Solutions Department of Eletrial Engineering and Computer iene MAACHUETT INTITUTE OF TECHNOLOGY 6.035 Fall 2016 Test I olutions 1 I Regular Expressions and Finite-tate Automata For Questions 1, 2, and 3, let the

More information

Exploring the Commonality in Feature Modeling Notations

Exploring the Commonality in Feature Modeling Notations Exploring the Commonality in Feature Modeling Notations Miloslav ŠÍPKA Slovak University of Tehnology Faulty of Informatis and Information Tehnologies Ilkovičova 3, 842 16 Bratislava, Slovakia miloslav.sipka@gmail.om

More information

A DYNAMIC ACCESS CONTROL WITH BINARY KEY-PAIR

A DYNAMIC ACCESS CONTROL WITH BINARY KEY-PAIR Malaysian Journal of Computer Siene, Vol 10 No 1, June 1997, pp 36-41 A DYNAMIC ACCESS CONTROL WITH BINARY KEY-PAIR Md Rafiqul Islam, Harihodin Selamat and Mohd Noor Md Sap Faulty of Computer Siene and

More information

Combinational Circuit Design

Combinational Circuit Design Modeling Combinational Circuits with Verilog Prof. Chien-Nan Liu TEL: 3-42275 ext:34534 Email: jimmy@ee.ncu.edu.tw 3- Combinational Circuit Design Outputs are functions of inputs inputs Combinational Circuit

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Department of Eletrial and Computer Engineering University of Wisonsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2014-2015 Assignment #2 Date Tuesday, September 25, 2014 Due

More information

Analysis of input and output configurations for use in four-valued CCD programmable logic arrays

Analysis of input and output configurations for use in four-valued CCD programmable logic arrays nalysis of input and output onfigurations for use in four-valued D programmable logi arrays J.T. utler H.G. Kerkhoff ndexing terms: Logi, iruit theory and design, harge-oupled devies bstrat: s in binary,

More information

Definitions Homework. Quine McCluskey Optimal solutions are possible for some large functions Espresso heuristic. Definitions Homework

Definitions Homework. Quine McCluskey Optimal solutions are possible for some large functions Espresso heuristic. Definitions Homework EECS 33 There be Dragons here http://ziyang.ees.northwestern.edu/ees33/ Teaher: Offie: Email: Phone: L477 Teh dikrp@northwestern.edu 847 467 2298 Today s material might at first appear diffiult Perhaps

More information

Outline. EECS150 - Digital Design Lecture 5 - Verilog 2. Structural Model: 2-to1 mux. Structural Model - XOR. Verilog Basics Lots of Examples

Outline. EECS150 - Digital Design Lecture 5 - Verilog 2. Structural Model: 2-to1 mux. Structural Model - XOR. Verilog Basics Lots of Examples Outline EECS150 - Digital Design Lecture 5 - Verilog 2 Verilog Basics Lots of Examples February 1, 2005 John Wawrzynek Spring 2005 EECS150 - Lec05-Verilog2 Page 1 Spring 2005 EECS150 - Lec05-Verilog2 Page

More information

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran CAD for VLSI Design - I Lecture 21 V. Kamakoti and Shankar Balachandran Overview of this Lecture Understanding the process of Logic synthesis Logic Synthesis of HDL constructs Logic Synthesis What is this?

More information

Introductory Programming, IMM, DTU Systematic Software Test. Software test (afprøvning) Motivation. Structural test and functional test

Introductory Programming, IMM, DTU Systematic Software Test. Software test (afprøvning) Motivation. Structural test and functional test Introdutory Programming, IMM, DTU Systemati Software Test Peter Sestoft a Programs often ontain unintended errors how do you find them? Strutural test Funtional test Notes: Systemati Software Test, http://www.dina.kvl.dk/

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

13.1 Numerical Evaluation of Integrals Over One Dimension

13.1 Numerical Evaluation of Integrals Over One Dimension 13.1 Numerial Evaluation of Integrals Over One Dimension A. Purpose This olletion of subprograms estimates the value of the integral b a f(x) dx where the integrand f(x) and the limits a and b are supplied

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

Outline: Software Design

Outline: Software Design Outline: Software Design. Goals History of software design ideas Design priniples Design methods Life belt or leg iron? (Budgen) Copyright Nany Leveson, Sept. 1999 A Little History... At first, struggling

More information

Drawing lines. Naïve line drawing algorithm. drawpixel(x, round(y)); double dy = y1 - y0; double dx = x1 - x0; double m = dy / dx; double y = y0;

Drawing lines. Naïve line drawing algorithm. drawpixel(x, round(y)); double dy = y1 - y0; double dx = x1 - x0; double m = dy / dx; double y = y0; Naïve line drawing algorithm // Connet to grid points(x0,y0) and // (x1,y1) by a line. void drawline(int x0, int y0, int x1, int y1) { int x; double dy = y1 - y0; double dx = x1 - x0; double m = dy / dx;

More information

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis Logic Synthesis Verilog and VHDL started out as simulation languages, but quickly people wrote programs to automatically convert Verilog code into low-level circuit descriptions (netlists). EECS150 - Digital

More information

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis Synthesis of Language Constructs 1 Nets Nets declared to be input or output ports are retained Internal nets may be eliminated due to logic optimization User may force a net to exist trireg, tri0, tri1

More information

Partial Character Decoding for Improved Regular Expression Matching in FPGAs

Partial Character Decoding for Improved Regular Expression Matching in FPGAs Partial Charater Deoding for Improved Regular Expression Mathing in FPGAs Peter Sutton Shool of Information Tehnology and Eletrial Engineering The University of Queensland Brisbane, Queensland, 4072, Australia

More information

Finding the Equation of a Straight Line

Finding the Equation of a Straight Line Finding the Equation of a Straight Line You should have, before now, ome aross the equation of a straight line, perhaps at shool. Engineers use this equation to help determine how one quantity is related

More information

Synthesis of Sequential Logic from Behavioral Code

Synthesis of Sequential Logic from Behavioral Code It s all about the flip-flop. Synthesis of Sequential Logi from Behavioral Code Storage devies are the distinguishing feature...... that differentiate ombinational and sequential logi. Why sequential logi

More information

Writing Circuit Descriptions 8

Writing Circuit Descriptions 8 8 Writing Circuit Descriptions 8 You can write many logically equivalent descriptions in Verilog to describe a circuit design. However, some descriptions are more efficient than others in terms of the

More information

LAB 4: Operations on binary images Histograms and color tables

LAB 4: Operations on binary images Histograms and color tables LAB 4: Operations on binary images Histograms an olor tables Computer Vision Laboratory Linköping University, Sween Preparations an start of the lab system You will fin a ouple of home exerises (marke

More information

Reading Object Code. A Visible/Z Lesson

Reading Object Code. A Visible/Z Lesson Reading Objet Code A Visible/Z Lesson The Idea: When programming in a high-level language, we rarely have to think about the speifi ode that is generated for eah instrution by a ompiler. But as an assembly

More information

Course Topics - Outline

Course Topics - Outline Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types Lecture 4 - Operators Lecture 5 - Behavioral modeling A Lecture 6 Behavioral modeling B Lecture 7

More information

Series/1 GA File No i=:: IBM Series/ Battery Backup Unit Description :::5 ~ ~ >-- ffi B~88 ~0 (] II IIIIII

Series/1 GA File No i=:: IBM Series/ Battery Backup Unit Description :::5 ~ ~ >-- ffi B~88 ~0 (] II IIIIII Series/1 I. (.. GA34-0032-0 File No. 51-10 a i=:: 5 Q 1 IBM Series/1 4999 Battery Bakup Unit Desription B88 0 (] o. :::5 >-- ffi "- I II1111111111IIIIII1111111 ---- - - - - ----- --_.- Series/1 «h: ",

More information

Reading Object Code. A Visible/Z Lesson

Reading Object Code. A Visible/Z Lesson Reading Objet Code A Visible/Z Lesson The Idea: When programming in a high-level language, we rarely have to think about the speifi ode that is generated for eah instrution by a ompiler. But as an assembly

More information

COMP 181. Prelude. Intermediate representations. Today. Types of IRs. High-level IR. Intermediate representations and code generation

COMP 181. Prelude. Intermediate representations. Today. Types of IRs. High-level IR. Intermediate representations and code generation Prelude COMP 181 Intermediate representations and ode generation November, 009 What is this devie? Large Hadron Collider What is a hadron? Subatomi partile made up of quarks bound by the strong fore What

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

EXODUS II: A Finite Element Data Model

EXODUS II: A Finite Element Data Model SAND92-2137 Unlimited Release Printed November 1995 Distribution Category UC-705 EXODUS II: A Finite Element Data Model Larry A. Shoof, Vitor R. Yarberry Computational Mehanis and Visualization Department

More information

UCSB Math TI-85 Tutorials: Basics

UCSB Math TI-85 Tutorials: Basics 3 UCSB Math TI-85 Tutorials: Basis If your alulator sreen doesn t show anything, try adjusting the ontrast aording to the instrutions on page 3, or page I-3, of the alulator manual You should read the

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis September 26, 2002 John Wawrzynek Fall 2002 EECS150 Lec10-synthesis Page 1 Logic Synthesis Verilog and VHDL stated out as simulation languages, but quickly

More information

Graph-Based vs Depth-Based Data Representation for Multiview Images

Graph-Based vs Depth-Based Data Representation for Multiview Images Graph-Based vs Depth-Based Data Representation for Multiview Images Thomas Maugey, Antonio Ortega, Pasal Frossard Signal Proessing Laboratory (LTS), Eole Polytehnique Fédérale de Lausanne (EPFL) Email:

More information

Simple Behavioral Model: the always block

Simple Behavioral Model: the always block Simple Behavioral Model: the always block always block Always waiting for a change to a trigger signal Then executes the body module and_gate (out, in1, in2); input in1, in2; output out; reg out; always

More information

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog.

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog. Hardware Description Languages: Verilog Quick History of HDLs Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 ISP (circa 1977) -

More information

Synthesis of Sequential Logic from Behavioral Code

Synthesis of Sequential Logic from Behavioral Code It s all about the flip-flop. Synthesis of Sequential Logi from Behavioral Code Storage devies are the distinguishing feature...... that differentiate ombinational and sequential logi. Why sequential logi

More information

Algorithms, Mechanisms and Procedures for the Computer-aided Project Generation System

Algorithms, Mechanisms and Procedures for the Computer-aided Project Generation System Algorithms, Mehanisms and Proedures for the Computer-aided Projet Generation System Anton O. Butko 1*, Aleksandr P. Briukhovetskii 2, Dmitry E. Grigoriev 2# and Konstantin S. Kalashnikov 3 1 Department

More information

An Event Display for ATLAS H8 Pixel Test Beam Data

An Event Display for ATLAS H8 Pixel Test Beam Data An Event Display for ATLAS H8 Pixel Test Beam Data George Gollin Centre de Physique des Partiules de Marseille and University of Illinois April 17, 1999 g-gollin@uiu.edu An event display program is now

More information

Reduced-Complexity Column-Layered Decoding and. Implementation for LDPC Codes

Reduced-Complexity Column-Layered Decoding and. Implementation for LDPC Codes Redued-Complexity Column-Layered Deoding and Implementation for LDPC Codes Zhiqiang Cui 1, Zhongfeng Wang 2, Senior Member, IEEE, and Xinmiao Zhang 3 1 Qualomm In., San Diego, CA 92121, USA 2 Broadom Corp.,

More information

On the Generation of Multiplexer Circuits for Pass Transistor Logic

On the Generation of Multiplexer Circuits for Pass Transistor Logic Preprint from Proeedings of DATE 2, Paris, rane, Marh 2 On the Generation of Multiplexer Ciruits for Pass Transistor Logi Christoph Sholl Bernd Beker Institute of Computer Siene Albert Ludwigs University

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) uiz - Spring 2004 Prof. Anantha Chandrakasan Student Name: Problem

More information

DECT Module Installation Manual

DECT Module Installation Manual DECT Module Installation Manual Rev. 2.0 This manual desribes the DECT module registration method to the HUB and fan airflow settings. In order for the HUB to ommuniate with a ompatible fan, the DECT module

More information

WORKSHOP 20 CREATING PCL FUNCTIONS

WORKSHOP 20 CREATING PCL FUNCTIONS WORKSHOP 20 CREATING PCL FUNCTIONS WS20-1 WS20-2 Problem Desription This exerise involves reating two PCL funtions that an be used to easily hange the view of a model. The PCL funtions are reated by reording

More information

ES611 FPGA Based System Design. Behavioral Model

ES611 FPGA Based System Design. Behavioral Model ES611 FPGA Based System Design Behavioral Model Structural procedures Two statements Initial always initial they execute only once always they execute for ever (until simulation finishes) initial block

More information

CA Test Data Manager 4.x Implementation Proven Professional Exam (CAT-681) Study Guide Version 1.0

CA Test Data Manager 4.x Implementation Proven Professional Exam (CAT-681) Study Guide Version 1.0 Implementation Proven Professional Study Guide Version 1.0 PROPRIETARY AND CONFIDENTIAL INFORMATION 2017 CA. All rights reserved. CA onfidential & proprietary information. For CA, CA Partner and CA Customer

More information

Installation Guide. Expansion module 1

Installation Guide. Expansion module 1 Installation uide Expansion module 1 Danfoss A/S is not liable or bound by warranty if these instrutions are not adhered to during installation or servie. The English language is used for the original

More information

! ISP (circa 1977) - research project at CMU " Simulation, but no synthesis

! ISP (circa 1977) - research project at CMU  Simulation, but no synthesis Hardware Description Languages: Verilog! Verilog " Structural Models " (Combinational) Behavioral Models " Syntax " Examples Quick History of HDLs! ISP (circa 1977) - research project at CMU " Simulation,

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

Compilation Lecture 11a. Register Allocation Noam Rinetzky. Text book: Modern compiler implementation in C Andrew A.

Compilation Lecture 11a. Register Allocation Noam Rinetzky. Text book: Modern compiler implementation in C Andrew A. Compilation 0368-3133 Leture 11a Text book: Modern ompiler implementation in C Andrew A. Appel Register Alloation Noam Rinetzky 1 Registers Dediated memory loations that an be aessed quikly, an have omputations

More information

Algorithms for External Memory Lecture 6 Graph Algorithms - Weighted List Ranking

Algorithms for External Memory Lecture 6 Graph Algorithms - Weighted List Ranking Algorithms for External Memory Leture 6 Graph Algorithms - Weighted List Ranking Leturer: Nodari Sithinava Sribe: Andi Hellmund, Simon Ohsenreither 1 Introdution & Motivation After talking about I/O-effiient

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

35 th Design Automation Conference Copyright 1998 ACM

35 th Design Automation Conference Copyright 1998 ACM Using Reongurable Computing Tehniques to Aelerate Problems in the CAD Domain: A Case Study with Boolean Satisability Peixin Zhong, Pranav Ashar, Sharad Malik and Margaret Martonosi Prineton University

More information

Approximate logic synthesis for error tolerant applications

Approximate logic synthesis for error tolerant applications Approximate logi synthesis for error tolerant appliations Doohul Shin and Sandeep K. Gupta Eletrial Engineering Department, University of Southern California, Los Angeles, CA 989 {doohuls, sandeep}@us.edu

More information

-z c = c T - c T B B-1 A 1 - c T B B-1 b. x B B -1 A 0 B -1 b. (a) (b) Figure 1. Simplex Tableau in Matrix Form

-z c = c T - c T B B-1 A 1 - c T B B-1 b. x B B -1 A 0 B -1 b. (a) (b) Figure 1. Simplex Tableau in Matrix Form 3. he Revised Simple Method he LP min, s.t. A = b ( ),, an be represented by Figure (a) below. At any Simple step, with known and -, the Simple tableau an be represented by Figure (b) below. he minimum

More information

Chap 6 Introduction to HDL (d)

Chap 6 Introduction to HDL (d) Design with Verilog Chap 6 Introduction to HDL (d) Credit to: MD Rizal Othman Faculty of Electrical & Electronics Engineering Universiti Malaysia Pahang Ext: 6036 VERILOG HDL Basic Unit A module Module

More information

Parametric Abstract Domains for Shape Analysis

Parametric Abstract Domains for Shape Analysis Parametri Abstrat Domains for Shape Analysis Xavier RIVAL (INRIA & Éole Normale Supérieure) Joint work with Bor-Yuh Evan CHANG (University of Maryland U University of Colorado) and George NECULA (University

More information

Dynamic Algorithms Multiple Choice Test

Dynamic Algorithms Multiple Choice Test 3226 Dynami Algorithms Multiple Choie Test Sample test: only 8 questions 32 minutes (Real test has 30 questions 120 minutes) Årskort Name Eah of the following 8 questions has 4 possible answers of whih

More information

Digital Design Using Verilog EE Final Examination

Digital Design Using Verilog EE Final Examination Name Digital Design Using Verilog EE 4702-1 Final Examination 8 May 2000, 7:30 9:30 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (100 pts) Good Luck! Problem 1: The modules below

More information

Solutions to Tutorial 2 (Week 9)

Solutions to Tutorial 2 (Week 9) The University of Syney Shool of Mathematis an Statistis Solutions to Tutorial (Week 9) MATH09/99: Disrete Mathematis an Graph Theory Semester, 0. Determine whether eah of the following sequenes is the

More information

Chapter 2: Introduction to Maple V

Chapter 2: Introduction to Maple V Chapter 2: Introdution to Maple V 2-1 Working with Maple Worksheets Try It! (p. 15) Start a Maple session with an empty worksheet. The name of the worksheet should be Untitled (1). Use one of the standard

More information

Learning Convention Propagation in BeerAdvocate Reviews from a etwork Perspective. Abstract

Learning Convention Propagation in BeerAdvocate Reviews from a etwork Perspective. Abstract CS 9 Projet Final Report: Learning Convention Propagation in BeerAdvoate Reviews from a etwork Perspetive Abstrat We look at the way onventions propagate between reviews on the BeerAdvoate dataset, and

More information

Control in Digital Systems

Control in Digital Systems CONTROL CIRCUITS Control in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager, controller) Memory (storage) B. Baas 256 Control in Digital Systems Control

More information

Semi-Supervised Affinity Propagation with Instance-Level Constraints

Semi-Supervised Affinity Propagation with Instance-Level Constraints Semi-Supervised Affinity Propagation with Instane-Level Constraints Inmar E. Givoni, Brendan J. Frey Probabilisti and Statistial Inferene Group University of Toronto 10 King s College Road, Toronto, Ontario,

More information

Extracting Partition Statistics from Semistructured Data

Extracting Partition Statistics from Semistructured Data Extrating Partition Statistis from Semistrutured Data John N. Wilson Rihard Gourlay Robert Japp Mathias Neumüller Department of Computer and Information Sienes University of Strathlyde, Glasgow, UK {jnw,rsg,rpj,mathias}@is.strath.a.uk

More information

Runtime Support for OOLs Part II Comp 412

Runtime Support for OOLs Part II Comp 412 COMP 412 FALL 2017 Runtime Support for OOLs Part II Comp 412 soure IR Front End Optimizer Bak End IR target Copright 2017, Keith D. Cooper & Linda Torzon, all rights reserved. Students enrolled in Comp

More information

Behavioral Modeling and Timing Constraints

Behavioral Modeling and Timing Constraints Lab Workbook Introduction Behavioral modeling was introduced in Lab 1 as one of three widely used modeling styles. Additional capabilities with respect to testbenches were further introduced in Lab 4.

More information

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 98-1 Under-Graduate Project Synthesis of Combinational Logic Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 What is synthesis? Outline Behavior Description for Synthesis Write Efficient HDL

More information

CS2100 Computer Organisation Tutorial #8: MSI Components Answers to Selected Questions

CS2100 Computer Organisation Tutorial #8: MSI Components Answers to Selected Questions C Computer Organisation Tutorial #8: MI Components Answers to elete Questions. Realize the following funtion with (a) an 8: multiplexer, an (b) a 4: multiplexer using the first input variables as the seletor

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

Hardware Description Languages: Verilog

Hardware Description Languages: Verilog Hardware Description Languages: Verilog Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 Quick History of HDLs ISP (circa 1977) -

More information

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

EE 231 Fall EE 231 Homework 8 Due October 20, 2010 EE 231 Homework 8 Due October 20, 20 1. Consider the circuit below. It has three inputs (x and clock), and one output (z). At reset, the circuit starts with the outputs of all flip-flops at 0. x z J Q

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

Flow Demands Oriented Node Placement in Multi-Hop Wireless Networks

Flow Demands Oriented Node Placement in Multi-Hop Wireless Networks Flow Demands Oriented Node Plaement in Multi-Hop Wireless Networks Zimu Yuan Institute of Computing Tehnology, CAS, China {zimu.yuan}@gmail.om arxiv:153.8396v1 [s.ni] 29 Mar 215 Abstrat In multi-hop wireless

More information

SAND Unlimited Release Printed November 1995 Updated November 29, :26 PM EXODUS II: A Finite Element Data Model

SAND Unlimited Release Printed November 1995 Updated November 29, :26 PM EXODUS II: A Finite Element Data Model SAND92-2137 Unlimited Release Printed November 1995 Updated November 29, 2006 12:26 PM EXODUS II: A Finite Element Data Model Gregory D. Sjaardema (updated version) Larry A. Shoof, Vitor R. Yarberry Computational

More information

Verilog for Synthesis Ing. Pullini Antonio

Verilog for Synthesis Ing. Pullini Antonio Verilog for Synthesis Ing. Pullini Antonio antonio.pullini@epfl.ch Outline Introduction to Verilog HDL Describing combinational logic Inference of basic combinational blocks Describing sequential circuits

More information

XML Data Streams. XML Stream Processing. XML Stream Processing. Yanlei Diao. University of Massachusetts Amherst

XML Data Streams. XML Stream Processing. XML Stream Processing. Yanlei Diao. University of Massachusetts Amherst XML Stream Proessing Yanlei Diao University of Massahusetts Amherst XML Data Streams XML is the wire format for data exhanged online. Purhase orders http://www.oasis-open.org/ommittees/t_home.php?wg_abbrev=ubl

More information

Lecture 32: SystemVerilog

Lecture 32: SystemVerilog Lecture 32: SystemVerilog Outline SystemVerilog module adder(input logic [31:0] a, input logic [31:0] b, output logic [31:0] y); assign y = a + b; Note that the inputs and outputs are 32-bit busses. 17:

More information

HEXA: Compact Data Structures for Faster Packet Processing

HEXA: Compact Data Structures for Faster Packet Processing Washington University in St. Louis Washington University Open Sholarship All Computer Siene and Engineering Researh Computer Siene and Engineering Report Number: 27-26 27 HEXA: Compat Data Strutures for

More information

CA Release Automation 5.x Implementation Proven Professional Exam (CAT-600) Study Guide Version 1.1

CA Release Automation 5.x Implementation Proven Professional Exam (CAT-600) Study Guide Version 1.1 Exam (CAT-600) Study Guide Version 1.1 PROPRIETARY AND CONFIDENTIAL INFORMATION 2016 CA. All rights reserved. CA onfidential & proprietary information. For CA, CA Partner and CA Customer use only. No unauthorized

More information

represent = as a finite deimal" either in base 0 or in base. We an imagine that the omputer first omputes the mathematial = then rounds the result to

represent = as a finite deimal either in base 0 or in base. We an imagine that the omputer first omputes the mathematial = then rounds the result to Sientifi Computing Chapter I Computer Arithmeti Jonathan Goodman Courant Institute of Mathemaial Sienes Last revised January, 00 Introdution One of the many soures of error in sientifi omputing is inexat

More information

Verilog Behavioral Modeling

Verilog Behavioral Modeling Verilog Behavioral Modeling Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Spring, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Source:

More information

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008 CSE 140L Final Exam Prof. Tajana Simunic Rosing Spring 2008 NAME: ID#: Do not start the exam until you are told to. Turn off any cell phones or pagers. Write your name and PID at the top of every page.

More information

Accommodations of QoS DiffServ Over IP and MPLS Networks

Accommodations of QoS DiffServ Over IP and MPLS Networks Aommodations of QoS DiffServ Over IP and MPLS Networks Abdullah AlWehaibi, Anjali Agarwal, Mihael Kadoh and Ahmed ElHakeem Department of Eletrial and Computer Department de Genie Eletrique Engineering

More information

1. The collection of the vowels in the word probability. 2. The collection of real numbers that satisfy the equation x 9 = 0.

1. The collection of the vowels in the word probability. 2. The collection of real numbers that satisfy the equation x 9 = 0. C HPTER 1 SETS I. DEFINITION OF SET We begin our study of probability with the disussion of the basi onept of set. We assume that there is a ommon understanding of what is meant by the notion of a olletion

More information

PASCAL 64. "The" Pascal Compiler for the Commodore 64. A Data Becker Product. >AbacusiII Software P.O. BOX 7211 GRAND RAPIDS, MICK 49510

PASCAL 64. The Pascal Compiler for the Commodore 64. A Data Becker Product. >AbacusiII Software P.O. BOX 7211 GRAND RAPIDS, MICK 49510 PASCAL 64 "The" Pasal Compiler for the Commodore 64 A Data Beker Produt >AbausiII Software P.O. BOX 7211 GRAND RAPIDS, MICK 49510 7010 COPYRIGHT NOTICE ABACUS Software makes this pakage available for use

More information

Capturing Large Intra-class Variations of Biometric Data by Template Co-updating

Capturing Large Intra-class Variations of Biometric Data by Template Co-updating Capturing Large Intra-lass Variations of Biometri Data by Template Co-updating Ajita Rattani University of Cagliari Piazza d'armi, Cagliari, Italy ajita.rattani@diee.unia.it Gian Lua Marialis University

More information

Introduction to Seismology Spring 2008

Introduction to Seismology Spring 2008 MIT OpenCourseWare http://ow.mit.edu 1.510 Introdution to Seismology Spring 008 For information about iting these materials or our Terms of Use, visit: http://ow.mit.edu/terms. 1.510 Leture Notes 3.3.007

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

PHYS 3437: Computational Methods in Physics, Assignment 2

PHYS 3437: Computational Methods in Physics, Assignment 2 PHYS 3437: Computational Methods in Physis, Assignment 2 Set January 27th due Feb 26th NOTE: This assignment is potentially quite lengthy if you are urrently developing your programming skills. If so,

More information

Contents. Appendix D Verilog Summary Page 1 of 16

Contents. Appendix D Verilog Summary Page 1 of 16 Appix D Verilog Summary Page 1 of 16 Contents Appix D Verilog Summary... 2 D.1 Basic Language Elements... 2 D.1.1 Keywords... 2 D.1.2 Comments... 2 D.1.3 Identifiers... 2 D.1.4 Numbers and Strings... 3

More information

P-admissible Solution Space

P-admissible Solution Space P-admissible Solution Spae P-admissible solution spae or Problem P: 1. the solution spae is inite, 2. every solution is easible, 3. evaluation or eah oniguration is possible in polynomial time and so is

More information