SEVA: A Soft-Error- and Variation-Aware Cache Architecture

Size: px
Start display at page:

Download "SEVA: A Soft-Error- and Variation-Aware Cache Architecture"

Transcription

1 SEVA: A Soft-Error- and Variation-Aware Cache Architecture Luong D. Hung, Masahiro Goshima, Shuichi Sakai Graduate School of Information Science and Technology, The University of Tokyo Hongo 7-3-, Bunkyo, Tokyo , Japan {hung,goshima,sakai}@mtl.t.u-tokyo.ac.jp Abstract As SRAM devices are scaled down, the number of variation-induced defective memory cells increases rapidly. Combination of ECC, particularly SECDED, with a redundancy technique can effectively tolerate a high number of defects. While SECDED can repair a defective cell in a block, the block becomes vulnerable to soft errors. This paper proposes SEVA, an original soft-error- and variationaware cache architecture. SEVA exploits SECDED to tolerate variation-induced defects while preserving high resilience against soft errors. Information about the defectiveness and data dirtiness is maintained for each SECDED block. SEVA allows only the clean data to be stored in defective (but still usable) blocks of a cache. An error occurring in a defective block can be detected and the correct data can be obtained from the lower level of the memory hierarchy. SEVA improves yield and reliability with low overheads. Introduction SRAM designs are confronted with two serious problems: soft errors and variation-induced defects. Soft errors refer to radiation-induced transient errors [4]. Soft error rate (SER) per bit of SRAM is expected to stay steady over process generations []. However, device scaling allows the number of bits integrated on a chip to increase exponentially, rising total SER rapidly. Tolerance against soft errors is highly required. Error Correcting Code (ECC) particularly, Single Error Correction Double Error Detection Hamming code (SECDED) is widely employed to detect and correct soft errors in SRAMs. Process variation causes spreads in the electrical characteristics of scaled devices. The effect is pronounced in SRAMs where minimum-geometry transistors are used. The number of variation-induced defective memory cells becomes high with device scaling [6][2], leading the conventional redundancy techniques (e.g., using redundancy rows/columns) to become impractical. Combination of a redundancy technique with ECC can tolerate a high degree of random defects [3][4]. A block containing a single defective cell can be repaired by ECC. At much lower probability, a block may contain multiple defective cells that exceed the error detection and/or correction capability of ECC. Only such a block is replaced by a redundancy element. With such a combined approach, a small number of redundancy elements can be sufficient. It is cost-effective if the same ECC resource can be used to tolerate both defects and soft errors. However, while a defective cell in a block can be tolerated by SECDED, the block becomes vulnerable to soft errors. Soft errors occurring in the block could be left undetectable and/or uncorrectable. While the error detection and correction capability of ECC can be enhanced by using codes that are more powerful than SECDED, these codes incur significant overheads and are impractical for being implemented in high-speed SRAMs. Previous work therefore improves defect tolerance at the expense of degraded soft error tolerance [3][4]. This paper proposes SEVA, a Soft Error and Variation Aware cache architecture. SEVA exploits SECDED to tolerate variation-induced defects while preserving high resilience against soft errors. Information about the defectiveness and data dirtiness is maintained for each SECDED block. SEVA allows only clean data to be stored in defective (but still usable) blocks. Soft errors cannot cause integrity problem in these blocks because SECDED is still able to detect the errors. When soft errors are detected, the correct data can be obtained from the lower levels of the memory hierarchy. SEVA improves yield and reliability with modest costs. The rest of this paper is organized as follows. Section 2 discusses the existing techniques for tolerating soft errors and defects in SRAMs, as well as their limitations. Section 3 describes SEVA architecture. Section 4 presents defect and yield analysis for a SEVA cache. Section 5 presents the performance and reliability evaluations. Finally, Section 6 concludes the paper.

2 2 Preliminary This section covers soft errors and variation-induced defects as well as existing techniques to mitigate them. In this paper, a defect refers to a permanent hardware fault while an error refers to a soft error. A block refers to either an ECC dataword (including information bits and check bits) or the storage portion holding such data. 2. Soft Error Tolerance in SRAMs A collision of a high energy particle (e.g., alpha, neutron) with silicon atoms induces charges. Enough charges collected at the diffusion nodes of a gate can alter the gate output. Particle strikes in SRAMs can result in bit upsets. While Soft Error Rate (SER) per bit is expected to stay steady over process generations [], device scaling allows the number of bits that can be integrated on a chip to increase exponentially and rises total SER rapidly. Tolerance against soft errors in SRAMs is highly required. Thanks to the high regularity of memory arrays, soft errors in SRAMs can be effectively tolerated by using coding techniques. Parity can be used in places where error detection is sufficient (e.g., instruction caches, write-through data caches). ECC, particularly SECDED, is widely used to detect and correct soft errors in caches holding dirty data (e.g., write-back caches). SECDED can correct single-bit errors and detect double-bit errors. The encoding and decoding circuitry of SECDED can be constructed as simple XOR trees and is quite fast. While a single-bit error (SBE) in a block can be detected and corrected successfully by SECDED, there is a possibility of a multi-bit error (MBE) occurring in a block. MBE can be caused by ) accumulation of multiple single-bit errors over time or 2) a particle strike corrupting multiple bits at once. We call the former case temporal MBE, and the later case spatial MBE. Since soft errors are infrequent events and SRAMs are frequently accessed, an error caused by a previous strike is very likely to be detected and corrected before next strike occurs. The probability of temporal MBE is therefore very low. The probability of a particle strike resulting in a spatial MBE increases with shrinking in device dimensions and supply voltages [0]. Since the error bits of a spatial MBE are located closely to each other, combination of ECC and an interleaving technique can tolerate spatial MBEs []. By interleaving different blocks on the same row, the error bits are dispersed over multiple blocks. Each error bit belongs to a different block and therefore can be detected and corrected successfully by SECDED. Table. Variation of V th over process generations Technology (nm) Vth (V) σv th (mv) Variation-induced Defects and Defect Tolerance in SRAMs 2.2. Variation-induced Defects As process scales down, precise control of various device parameters such as gate width, gate length, number and locations of dopant atoms in manufacturing becomes increasingly difficult. This results in considerable variations in the electrical characteristics of devices. Table shows the variation of threshold voltage (V th ) over process generations, predicted by ITRS []. Particularly, fluctuations in the number and locations of dopant atoms in the channel region of a transistor are known as the dominant source of V th variation in scaled devices [8][5]. Variation is pronounced in SRAMs where minimumgeometry transistors are used. Figure shows the schematic of an SRAM cell. The cell consists of two cross-coupled CMOS inverters and two N-type access transistors. Large mismatches in the strengths of transistors in an SRAM cell can make the cell fail to function. Three types of failures can occur in an SRAM cell: read, write, and access time failures. BL B=0 B= Figure. Schematic of an SRAM cell BL Read Failure. Read failure is defined as the flipping of data while reading an SRAM cell. The voltage of node B (the node storing 0 in Figure ) V B is raised from zero to V read due to a voltage divider between BL (precharged at V DD) and GND through T and T 3. If V read is larger 2

3 Table 2. Probability of failure cell versus σv th in 45nm process σ Vth (mv) P RF P W F P AF P F ault 20 x0 4 x0 4 x0 4 x x0 4 2x0 4 5x0 4 8x x0 3 6x0 4 3x0 3 4x0 3 Table 3. Number of check bits required for different information-bit lengths Information-bit length SECDED BCH DEC than the tripling voltage V trip of {T 4,T 6} inverter, the cell flips resulting in a read failure. Variations in V th of T and T 3 (or T 6 and T 4) lead to large variation in V read (or V trip ). Write Failure. Write failure is defined as the inability to successfully write to a cell. When writing 0 to node B which originally stores, V B develops to V write due to a voltage divider between BL at GND and V DD through T 2 and T 6. If V write is larger than V trip of {T 3,T 5} inverter, the write will fail. Since T 2 and T 6 (also T and T 5) are typically the smallest transistors in the cell, V th variations in these transistors causes large variation in V write, resulting in a high probability of write failure [6]. Access Time Failure. The access time (T access ) is defined as the time required to develop a predefined voltage difference between BL and BL. When node B stores 0, BL will discharge through T and T 3 in a read operation. The discharge speed depends on the strengths of T and T 3. V th variations in these transistors cause a spread in T access. An access fails if T access is larger than the maximum tolerable limit T limit. Table 2 shows the failure probability of a cell at 45nm process with different amount of V th variations [2]. P RF, P W F, and P AF are respectively the probabilities of read, write, and access failures. P F ault is the total failure probability. The failure probability is quite high and highly sensitive to V th variation Defect Tolerance Techniques Redundancy techniques have been used to tolerate manufacturing defects in SRAMs to improve yield. SRAMs go through a test process after fabrication. A test algorithm applies input data in particular patterns to the SRAMs, and diagnoses the output data to locate defects. Common defects in SRAMs are malfunction cells, stuck-at faults, and bridging faults. When the defects have been identified, a repair algorithm is executed to replace defective locations with redundancy elements. Traditionally, external equipments are extensively used to test the chips, to localize defects, and to drive a laser beam to perform repair, or to blow fuses or anti-fuses. However, external test & repair method is costly. Modern SRAMs typically includes Built-In Self Test (BIST) and Built-in Self Repair (BISR) to maintain reasonable test and repair cost [7]. Conventional redundancy techniques usually use rows and/or columns as the redundancy elements. However, high defect densities in scaled processes makes such coarse-grain redundancy techniques impractical. In [2], a row contains several cache lines and an individual cache line, rather than an entire row, can be replaced through modifications to the column multiplexers. Maintaining redundancy at a word level (e.g., 32-bit) allows more efficient utilization of redundant resources [5][2]. Nevertheless, such fine-grain redundancy techniques are still inadequate for high defect densities. For instance, assuming the defect probability per cell of 0.00, a 256-KB SRAM will have roughly 2K defective words. The BISR and decoder circuitry to support the replacement of such a high number of defective words is complex. Particularly, the Content-Addressable Memory (CAM), which is usually used in BISR to store the addresses of the defective words, becomes excessively large and degrades the access latency. There have been proposals that combine a redundancy technique with ECC to tolerate a high number of random defects [3][4]. ECC, usually SECDED, is maintained for each data block. In the case where a defective cell is present in the block, the defective cell can be corrected by SECDED. Only in the case there are more than one defective cell present in the same block, the block is replaced by a redundancy element. The probability of the later case is much lower than the former case. The number of redundancy elements can be kept at low. 2.3 Limitation of Existing Tolerance Techniques Defect tolerance and soft-error tolerance are the two topics that are usually treated separately so far. ECC has proved to be effective for tolerating either high defect densities or soft errors. It is cost-effective if the same ECC resource can be used for both purposes. However, while a defective cell present in a block can be tolerated by SECDED, the block becomes vulnerable to soft errors. A single-bit error in the block is detectable but uncorrectable. A multi-bit error in the block can be undetectable, or detectable but incorrectly corrected. Error detection and correction capability can be improved by using codes that are more powerful than SECDED. For instance, if Double Error Correction Code 3

4 (DEC) is used, a defective cell present in a block can be tolerated while a single-bit error occurring in the block can be successfully detected and corrected. Some conventional DEC codes described in the literature are Bose-Chaudhury- Hocquenghem (BCH), Reed-Solomon [6]. However, their overheads are considerably larger than those of SECDED. Table 3 shows the number of check bits required to implement SECDED and BCH DEC for various information bit lengths. DEC increases number of check bits significantly. Moreover, the encoding and decoding circuitry of DEC usually employs multi-bit Linear Feedback Shift Registers (LFSR) which introduce inordinate access delay, making DEC unsuitable for being implemented in SRAMs requiring fast access. Therefore, it is important to use SECDED as ECC of choice. Existing work[3][4] making use of SECDED have limitation that they improve defect tolerance at the expense of degraded tolerance against soft errors. SEVA can overcome such a limitation. SEVA utilizes SECDED to tolerate variation-induced defects while preserving high resilience against soft errors. 3 SEVA Architecture A SEVA cache consists of several subarrays. A subarray has several rows. Each row is comprised of several blocks. Each subarray has its own decoders, BIST, BISR, and some redundancy rows. BIST detects the defective cells in the subarray. Blocks are classified based on the number of defective cells they contain. A block that does not have any defective cell is called a good block (g-block). A block that has a defective cell is called a tolerable block (t-block). A block that has more than one defective cells is called a bad block (b-block). The row containing at least one b-block is a bad row. BISR replaces bad rows with redundancy rows. SEVA associates each block with a g-bit. The g-bit of a block is set (or reset) if the block is a g-block (or t-block). Defect analyzing and setting of g-bits are performed by BIST every time the system is booted. Alternatively, the overheads can be reduced by storing the values of g-bits into a non-volatile storage and reloading these values into g-bits at rebooting. SEVA interleaves multiple blocks in the same rows to disperse the error bits of a spatial MBE. We assume that a block contains as much as one error bit and focus on how to deal with a single-bit error in a block. If the block is a g- block, SECDED can be exclusively used for soft error tolerance. Therefore, the error is detectable and correctable. However, if the block is a t-block, a part of SECDED capability is used for repairing the defective cell, leaving the reduced capability for soft error tolerance. The error in this case is detectable, but uncorrectable. If the corrupted data is clean, error detection is sufficient since the correct data can be obtained from the lower levels of the memory hierarchy. Data integrity problem occurs if the corrupted data are dirty data which have no backup elsewhere. SEVA prevents such a data integrity problem by storing only clean data in t-blocks. When there is a data update coming from a upper level cache (or processor) to a t-block of a cache, the data is also updated to the next level of the memory hierarchy. Such an update is referred to as an assurance update. An assurance update also occurs if modification goes to a cache line which the block holding the cache line s tag is a t-block. Assurance updates increase the number of accesses to the next level of the memory hierarchy and have impacts on performance and power consumption. In conventional caches, the dirtiness of data is maintained at cache line level. When the cache line is written back, all the constituent blocks are written back no matter whether the blocks have been modified or not. By maintaining the dirtiness of data at block level, unnecessary writebacks of unmodified blocks can be eliminated. In SEVA, each block is associated with a d-bit. The d-bit of a block is set (or reset) if the block stores dirty (or clean) data. When the cache line are written back, only those blocks having their d-bits set are sent to the next level of the memory hierarchy. The d-bits are reset to indicate that the data in the blocks are now clean. By reducing the number of blocks to be written back, the probability of assurance update triggered by the next level of the memory hierarchy can also be reduced. Figure 2 shows a simplified architecture of a 256KB, four-way set-associative SEVA cache. The cache line size is 64B. The cache is constructed from a tag subarray and eight 32-KB data subarrays. Each data subarray has 52 rows, each row is comprised of eight 72b blocks. The tag subarray has 256 rows, each row is comprised of sixteen 45b blocks. A tag entry has a tag address, some state bits (for LRU replacement, cache coherency), and g-bits and d- bits of all blocks belonging to the corresponding cache line. The tag access usually proceeds the data access in a typical cache. By storing the g-bits and d-bits of the blocks of the data array in the corresponding entry of the tag array, the decisions of ) whether an assurance write is needed or not upon a cache write, and 2) which blocks are dirty that need to be written back upon a line eviction or an assurance update, can be determined at the end of the tag access. Accesses to unmodified blocks in a cache line can be skipped, thereby saving power consumption. Interleaving the tags of multiple sets in the same row in the tag subarray tolerates MBE while allowing the tags of the same set to be read concurrently on an access. 4

5 4-b tag 6 state bits 9 g-bits 9 d-bits 38 info bits 7 check bits a tag entry 64 info bits 8 check bits a SECDED block 45b 45b 45b 45b tags of the same set 72b 72b sub-cachelines 80b 80b 80b 80b a row 44b 44b 44b 44b a row decoder 256 rows decoder 52 rows BIST BISR 720b tag subarray spare rows BIST BISR 576b data subarray #0 spare rows data subarray #7 Figure 2. Architecture of 256KB SEVA cache 4 Defect and Yield Analysis In this section, we perform defect and yield analysis of an SEVA cache. Our analysis focuses on variation-induced defects, which are the dominant type of defects in scaled devices (redundancy rows can also be used to deal with other types of defects such as stuck-at faults at wordlines, decoders). We assume the defects are randomly distributed and λ is the probability that a cell is defective. Since an SEVA cache consists of multiple subarrays, we start with defect and yield analysis of a generalized subarray. The subarray has N row rows. Each row contains N blk blocks. Each block has BS bits, including information bits and check bits. The subarray has N rdrow redundancy rows. The probabilities that a block is a g-block, t-block or b- block are respectively P g blk, P t blk, and P b blk, and are given by P g blk = ( λ) BS () P t blk = BS( λ) BS λ (2) P b blk = P g blk P t blk (3) The probability that a row is a bad row, P b row, is given by P b row = ( P b blk ) N blk (4) The array is passable if the number of bad rows, N b row, is at most equal to the number of redundancy rows that are not bad rows, N nb rdrow. The yield of the array can be expressed as Y ield array = P rob(n b row N nb rdrow ) (5) We can simulate the yield of the subarray by assuming that N b row and N nb rdrow follow Poisson distribution which means are given by N b row = N row P b row (6) N nb rdrow = N rdrow ( P b row ) (7) The yield of the cache is the product of the yield of the subarrays. Y ield cache = 4. Results all subarrays Y ieldsubarray (8) We perform yield simulation for the SEVA cache shown in Figure 2. The yields of the tag and data subarrays are calculated separately. The configuration parameters {N row, N blk, BS} of the tag and data subarrays are respectively {256, 6, 45} and {52, 8, 72}. The defect probability is varied from to We also vary the number of redundancy rows to investigate its impact on the yield of the subarray. The yields of the tag and data subarray are respecitively shown in the left and right halfs of Figure 3. When defect probability is low, the subarrays can archieve 5

6 Table 4. Yield and Overhead of the subarrays and the cache λ Tag subarray Data subarray Cache Overhead (%) (yield/n rdrow ) (yield/n rdrow ) yield / 0.996/ / / / / / / high yield with a very few rows. As the defect probability becomes high ( or 0.00), the number of redundancy rows required to achieve adequate yield increases appreciably. Table 4 lists the yield and number of redundancy rows in the tag and data subarrays in order to obtain roughly 95% cache yield. The hardware overhead of SEVA is also shown in the table. The overhead is simply the total number of SECDED check bits, g-bits, d-bits, and the bits consumed by redundancy rows, divided by the number of memory bits in the original cache. The overhead of SECDED is constant and the overhead of redundancy rows grows up with the increase in the defect probability. Even when the defect probality is as high as 0.00, SEVA can achieve 95% yield with 2.8% overhead. 5 Performance and Reliability Evaluation The applications of SEVA caches on a processor s memory hierarchy are considered in this section. The performance overhead and reliability improvement are evaluated. 5. Evaluation Methodology The simulated system is an out-of-order superscalar processor which configuration parameters are shown in Table 5. The processor has 6-KB instruction and data caches, and a 256-KB unified L2 cache. The data cache and L2 cache are writeback caches, each equipped with a two-entry writeback buffer. Upon a line eviction or an assurance update, the data is temporarily stored in the writeback buffer. The buffer will write back the data when the bus to the next level of the memory hierarchy is free. SECDED is maintained for every 64 information bits in the L caches and L2 cache. We assume that the defect probability of an SRAM cell is We also assume that all bad rows in the caches are replaced by redundancy rows. The probability that a block is a t-block is calculated using Equation and 2. The g-bits are randomly initialized at the beginning of the simulation based on such a probability. We consider only the defects on SRAM caches and assume the memory to be fault-free in the evaluation. The following two systems are evaluated: Table 5. Parameters of Simulated Architecture Processor Parameters Frequency Functional Units LSQ size RUU size Issue Width L i-cache L d-cache L2 cache Memory GHz 4 integer ALUs, 4 FP ALUs integer multiplier/divider FP multiplier/divider 8 instructions 6 instructions 4 instructions/cycle Memory Hierarchy Parameters 6 KB, direct-map, 32B line, cycle latency 72b SECDED block 6 KB, 4-way, 32B line, cycle latency, writeback 72b SECDED block, 2-entry writeback buffer 256KB, unified, 4-way, 64B line, 6 cycle latency 72b SECDED block, 2-entry writeback buffer 00 cycle latency Baseline system: The L data cache and L2 cache are normal caches which do not perform assurance update and maintain dirtiness per cache line. SEVA system: The L data cache and L2 cache are SEVA caches which perform assurance updates and maintain dirtiness per block. Cycle-accurate processor simulation is performed using SimpleScalar toolset [7]. SPEC2000 benchmarks are used in the simulation. For each benchmark, we skip the first one billion instructions and simulated the next four billion instructions. We assume that the soft error rate of an unprotected SRAM equal to.6 KFIT per megabit [3], and soft errors follow a uniform distribution. Accesses to the cache lines (in baseline system) or blocks (in SEVA system) of the caches are recorded. Such information allows us to calculate the error rates of the caches. 5.2 Evaluation Results Figure 4 shows the relative performance of the baseline system and SEVA system. The assurance updates of an SEVA cache consume the access bandwidth to the next level of the memory hierarchy and impact performance. However, the performance degradation is very small (less than 0.%). It is thanks to the presence of the writeback buffer which effectively removing an assurance update from the critical path of a cache access. Interestingly, SEVA system improves performance for mcf benchmark. The improvement in performance in this case can be attributed to early writeback effect [9]. If the writeback buffer is full and a dirty line must be evicted in a cache miss, an entry in the One FIT (Failure In Time) corresponds to one failure per 0 9 hours 6

7 # Figure 3. The yields of the tag subarray (left-half) and data subarray (right-half) buffer must be written back and such a writeback adds to the latency of the cache access. Early writing back the dirty lines through assurance updates can reduce such overheads.!!! " # $&%'( )* %% %' )+. '-, ),! Figure 4. Performance degradation of SEVA system, compared to the baseline system Figure 5 shows the average number of accesses to L2 caches and memory per one thousand instructions. On average, SEVA system increases the numbers of accesses to the L2 cache and memory respectively.3 and 6.4%. The breakdowns of the accesses are also shown in the figure. Assurance updates and writebacks account for 25.5% (or 27.2%) of accesses to the L2 cache (or memory). By allowing the L data cache (or L2 cache) to send only the dirty blocks to the L2 cache (or memory), the number of blocks can be reduced by 44% (or 60%), as compared with the case all the blocks in the cache lines are sent back to the L2 cache (or memory). The results confirm the effectiveness of maintaining data dirtiness per block in SEVA caches for eliminating unnecessary block updates and improving power efficiency. Table 6 shows the uncorrectable error rate of the L2 caches. For the baseline cache, an uncorrectable error occurs if a strike occurs on a t-block of a dirty cache line and Table 6. Uncorrectable error rate of 256KB L2 caches Cache Uncorrectable Error Rate (FIT) Baseline 63 SEVA 3.84e-4 the cache line is read later. For the SEVA cache, an uncorrectable error occurs if two strikes occur on the same t-block between two consecutive accesses to the block. The error rate of the SEVA cache is many orders of magnitude lower than that of the baseline L2 cache. 6 Conclusions Tolerating soft errors for high reliability and tolerating variation-induced defects for yield improvement are highly required in advanced SRAM designs. The proposed SEVA cache architecture can satisfy both the requirements. By combining SECDED with a redundancy technique, SEVA can effectively tolerate high defect densities. Enforcement of assurance update mechanism aided by the newly added g-bits and d-bits efficiently eliminates the uncorrectable soft errors occurring in defective (but still usable) blocks. The evaluation results verified the effectiveness of SEVA caches. Even with high defect probability (as high as 0.00), SEVA caches can achieve high yield with low area overheads. The performance overheads caused by assurance updates in SEVA caches are very low. Acknowledgement This research is partially supported by Grant-in-Aid for Fundamental Scientific Research B(2) # , B(2) 7

8 ? 3 : 4 32 <? 3 : 4 / / / / / 0 3; 4 0 : 3 0 2< 3 26 < < 8 = > anb cedmf[fhgni dkjnlnm anb conpi qrsmntudmlpv qb c[wkapb cyxeqf[f A5 / : 3 A@ > 0 EA 5 < C 5 6G F FC4 0 / /0 / / / 0 3; 4 0 : 2< < 8 = > H IKJMLNLPORQ JPSRTRU H IWVXQ YZ[UR\NJPTR] HI_^`YLPL A5 / : 3 A@ > 0 EA 5 < C 5 6G F FC4 Figure 5. Accesses to L2 cache and memory per,000 instructions # from the Ministry of Education, Culture, Sports, Science and Technology Japan, a CREST project of the Japan Science and Technology Corporation, and by a 2st century COE project of the Japan Society for the Promotion of Science. References [] International Technology Roadmap for Semiconductor [2] A. Agarwal, B. C. Paul, S. Mukhopadhyay, and K. Roy. Process Variation in Embedded Memories: Failure Analysis and Variation Aware Architecture. IEEE Journal on Solid State Circuits, 40(9):804 84, [3] R. Baumann. Soft Errors in Advanced Computer System. IEEE Design and Test of Computers, 22(3): , [4] R. C. Baumann. Soft Errors in Advanced Semiconductor Devices Part I: Three Radiation Sources. IEEE Transactions on Device and Materials Reliability, ():7 22, 200. [5] A. Benso, S. Chiusano, G. D. Natale, P. Prinetto, and M. L. Bodoni. A family of self-repair SRAM cores. In Proc. IEEE International On-Line Testing Workshop, pages 24 28, [6] A. Bhavnagarwala, S. Kosonocky, C. Radens, K. Stawiasz, R. Mann, Q. Ye, and K. Chin. Fluctuation Limits & Scaling Oppoturnities for CMOS SRAM Cells. In Proc. IEEE International Electron Devices Meeting, pages , [7] D. Burger and T. Austin. The SimpleScalar Tool Set. Technical Report CS-TR , University of Wisconsin- Madison, 997. [8] D. J. Frank, Y. Taur, M. Ieong, and Hon. Monte Carlo Modelling of Threshold Variation due to Dopant Fluctuations. In Proc. IEEE International Electron Devices Meeting, pages 93 94, 999. [9] H.-H. S. Lee, G. S. Tyson, and M. K. Farrens. Eager writeback a technique for improving bandwidth utilization. In Proc. IEEE/ACM International Symposium on Microarchitecture, pages 2, [0] J. Maiz, S. Hareland, K. Zhang, and P. Armstrong. Characterization of Multi-bit Soft Error events in advanced SRAMs. In Proc. IEEE International Electron Devices Meeting, pages , [] K. Osada, Y. Saitoh, and K. Ishibashi. 6.7-fA/Cell Tunnel- Leakage-Suppressed 6-Mb SRAM for Handling Cosmic- Ray-Induced Multierrors. IEEE Journal on Solid State Circuits, 38(): , [2] V. Schober, S. Paul, and O. Picot. Memory Built-in Self- Repair using Redundant words. In Proc. IEEE International Test Conference, pages , 200. [3] C. H. Stapper and H.-S. Lee. Synergistic Fault-Tolerance for Memory Chips. IEEE Transactions on Computers, 4(9): , 992. [4] C.-L. Su and Y.-T. Yeh. An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement. In Proc. IEEE International Test Conference, pages 8 89, [5] X. Tang, V. K. De, and J. D. Meindl. Intrinsic MOSFET Parameter Fluctuations Due to Random Dopant Placement. In Proc. IEEE International Electron Devices Meeting, pages , 997. [6] T.R.N.Rao and E. Fujiwara. Error-Control Coding for Computer Systems. Prentice Hall, Inc, 989. [7] Y. Zorian. Embedded infrastructure IP for SOC yield improvement. In Proc. IEEE/ACM Design Automation Conference, pages ,

Area-Efficient Error Protection for Caches

Area-Efficient Error Protection for Caches Area-Efficient Error Protection for Caches Soontae Kim Department of Computer Science and Engineering University of South Florida, FL 33620 sookim@cse.usf.edu Abstract Due to increasing concern about various

More information

Technique to Mitigate Soft Errors in Caches with CAM-based Tags

Technique to Mitigate Soft Errors in Caches with CAM-based Tags THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. Technique to Mitigate Soft Errors in Caches with CAM-based Tags Luong D. HUNG, Masahiro GOSHIMA, and Shuichi

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

Spare Block Cache Architecture to Enable Low-Voltage Operation

Spare Block Cache Architecture to Enable Low-Voltage Operation Portland State University PDXScholar Dissertations and Theses Dissertations and Theses 1-1-2011 Spare Block Cache Architecture to Enable Low-Voltage Operation Nafiul Alam Siddique Portland State University

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Limiting the Number of Dirty Cache Lines

Limiting the Number of Dirty Cache Lines Limiting the Number of Dirty Cache Lines Pepijn de Langen and Ben Juurlink Computer Engineering Laboratory Faculty of Electrical Engineering, Mathematics and Computer Science Delft University of Technology

More information

Performance and Power Solutions for Caches Using 8T SRAM Cells

Performance and Power Solutions for Caches Using 8T SRAM Cells Performance and Power Solutions for Caches Using 8T SRAM Cells Mostafa Farahani Amirali Baniasadi Department of Electrical and Computer Engineering University of Victoria, BC, Canada {mostafa, amirali}@ece.uvic.ca

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

Soft Error and Energy Consumption Interactions: A Data Cache Perspective

Soft Error and Energy Consumption Interactions: A Data Cache Perspective Soft Error and Energy Consumption Interactions: A Data Cache Perspective Lin Li, Vijay Degalahal, N. Vijaykrishnan, Mahmut Kandemir, Mary Jane Irwin Department of Computer Science and Engineering Pennsylvania

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Designing a Fast and Adaptive Error Correction Scheme for Increasing the Lifetime of Phase Change Memories

Designing a Fast and Adaptive Error Correction Scheme for Increasing the Lifetime of Phase Change Memories 2011 29th IEEE VLSI Test Symposium Designing a Fast and Adaptive Error Correction Scheme for Increasing the Lifetime of Phase Change Memories Rudrajit Datta and Nur A. Touba Computer Engineering Research

More information

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Yu Cai, Saugata Ghose, Yixin Luo, Ken Mai, Onur Mutlu, Erich F. Haratsch February 6, 2017

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN 255 CORRECTIONS TO FAULT SECURE OF MAJORITY LOGIC DECODER AND DETECTOR FOR MEMORY APPLICATIONS Viji.D PG Scholar Embedded Systems Prist University, Thanjuvr - India Mr.T.Sathees Kumar AP/ECE Prist University,

More information

Selective Fill Data Cache

Selective Fill Data Cache Selective Fill Data Cache Rice University ELEC525 Final Report Anuj Dharia, Paul Rodriguez, Ryan Verret Abstract Here we present an architecture for improving data cache miss rate. Our enhancement seeks

More information

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX ENDREDDY PRAVEENA 1 M.T.ech Scholar ( VLSID), Universal College Of Engineering & Technology, Guntur, A.P M. VENKATA SREERAJ 2 Associate

More information

Using a Victim Buffer in an Application-Specific Memory Hierarchy

Using a Victim Buffer in an Application-Specific Memory Hierarchy Using a Victim Buffer in an Application-Specific Memory Hierarchy Chuanjun Zhang Depment of lectrical ngineering University of California, Riverside czhang@ee.ucr.edu Frank Vahid Depment of Computer Science

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

Embedded SRAM Technology for High-End Processors

Embedded SRAM Technology for High-End Processors Embedded SRAM Technology for High-End Processors Hiroshi Nakadai Gaku Ito Toshiyuki Uetake Fujitsu is the only company in Japan that develops its own processors for use in server products that support

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Frequently asked questions from the previous class survey

Frequently asked questions from the previous class survey CS 370: OPERATING SYSTEMS [MASS STORAGE] Shrideep Pallickara Computer Science Colorado State University L29.1 Frequently asked questions from the previous class survey How does NTFS compare with UFS? L29.2

More information

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 1 Multilevel Memories Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology Based on the material prepared by Krste Asanovic and Arvind CPU-Memory Bottleneck 6.823

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Ch.Srujana M.Tech [EDT] srujanaxc@gmail.com SR Engineering College, Warangal. M.Sampath Reddy Assoc. Professor, Department

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Lecture-14 (Memory Hierarchy) CS422-Spring

Lecture-14 (Memory Hierarchy) CS422-Spring Lecture-14 (Memory Hierarchy) CS422-Spring 2018 Biswa@CSE-IITK The Ideal World Instruction Supply Pipeline (Instruction execution) Data Supply - Zero-cycle latency - Infinite capacity - Zero cost - Perfect

More information

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

TOLERANCE to runtime failures in large on-chip caches has

TOLERANCE to runtime failures in large on-chip caches has 20 IEEE TRANSACTIONS ON COMPUTERS, VOL. 60, NO. 1, JANUARY 2011 Reliability-Driven ECC Allocation for Multiple Bit Error Resilience in Processor Cache Somnath Paul, Student Member, IEEE, Fang Cai, Student

More information

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani 1 Advanced Digital IC Design What is this about? Embedded Memories Jingou Lai Sina Borhani Master students of SoC To introduce the motivation, background and the architecture of the embedded memories.

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies Abstract: Performance degradation tolerance (PDT) has been shown to be able to effectively improve the yield, reliability,

More information

Improved Error Correction Capability in Flash Memory using Input / Output Pins

Improved Error Correction Capability in Flash Memory using Input / Output Pins Improved Error Correction Capability in Flash Memory using Input / Output Pins A M Kiran PG Scholar/ Department of ECE Karpagam University,Coimbatore kirthece@rediffmail.com J Shafiq Mansoor Assistant

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

Flexible Cache Error Protection using an ECC FIFO

Flexible Cache Error Protection using an ECC FIFO Flexible Cache Error Protection using an ECC FIFO Doe Hyun Yoon and Mattan Erez Dept Electrical and Computer Engineering The University of Texas at Austin 1 ECC FIFO Goal: to reduce on-chip ECC overhead

More information

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Rudrajit Datta and Nur A. Touba Computer Engineering Research Center The University

More information

Ultra Low-Cost Defect Protection for Microprocessor Pipelines

Ultra Low-Cost Defect Protection for Microprocessor Pipelines Ultra Low-Cost Defect Protection for Microprocessor Pipelines Smitha Shyam Kypros Constantinides Sujay Phadke Valeria Bertacco Todd Austin Advanced Computer Architecture Lab University of Michigan Key

More information

A Low-Power ECC Check Bit Generator Implementation in DRAMs

A Low-Power ECC Check Bit Generator Implementation in DRAMs 252 SANG-UHN CHA et al : A LOW-POWER ECC CHECK BIT GENERATOR IMPLEMENTATION IN DRAMS A Low-Power ECC Check Bit Generator Implementation in DRAMs Sang-Uhn Cha *, Yun-Sang Lee **, and Hongil Yoon * Abstract

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

On the Characterization of Data Cache Vulnerability in High-Performance Embedded Microprocessors

On the Characterization of Data Cache Vulnerability in High-Performance Embedded Microprocessors On the Characterization of Data Cache Vulnerability in High-Performance Embedded Microprocessors Shuai Wang, Jie Hu, and Sotirios G. Ziavras Department of Electrical and Computer Engineering New Jersey

More information

CS370: Operating Systems [Spring 2017] Dept. Of Computer Science, Colorado State University

CS370: Operating Systems [Spring 2017] Dept. Of Computer Science, Colorado State University Frequently asked questions from the previous class survey CS 370: OPERATING SYSTEMS [MASS STORAGE] How does the OS caching optimize disk performance? How does file compression work? Does the disk change

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Memory Hierarchy & Caches Motivation 10000 Performance 1000 100 10 Processor Memory 1 1985 1990 1995 2000 2005 2010 Want memory to appear: As fast as CPU As large as required

More information

A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM

A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM Go Matsukawa 1, Yohei Nakata 1, Yuta Kimi 1, Yasuo Sugure 2, Masafumi Shimozawa 3, Shigeru Oho 4,

More information

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation http://dx.doi.org/10.5573/jsts.2012.12.4.418 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 Efficient Implementation of Single Error Correction and Double Error Detection

More information

Error Correction Using Extended Orthogonal Latin Square Codes

Error Correction Using Extended Orthogonal Latin Square Codes International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 9, Number 1 (2016), pp. 55-62 International Research Publication House http://www.irphouse.com Error Correction

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Transient Fault Detection and Reducing Transient Error Rate. Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof.

Transient Fault Detection and Reducing Transient Error Rate. Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof. Transient Fault Detection and Reducing Transient Error Rate Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof. Steven Swanson Outline Motivation What are transient faults? Hardware Fault Detection

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

A Low Power SRAM Cell with High Read Stability

A Low Power SRAM Cell with High Read Stability 16 ECTI TRANSACTIONS ON ELECTRICAL ENG., ELECTRONICS, AND COMMUNICATIONS VOL.9, NO.1 February 2011 A Low Power SRAM Cell with High Read Stability N.M. Sivamangai 1 and K. Gunavathi 2, Non-members ABSTRACT

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

ARCHITECTURAL APPROACHES TO REDUCE LEAKAGE ENERGY IN CACHES

ARCHITECTURAL APPROACHES TO REDUCE LEAKAGE ENERGY IN CACHES ARCHITECTURAL APPROACHES TO REDUCE LEAKAGE ENERGY IN CACHES Shashikiran H. Tadas & Chaitali Chakrabarti Department of Electrical Engineering Arizona State University Tempe, AZ, 85287. tadas@asu.edu, chaitali@asu.edu

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007 Minimizing Power Dissipation during Write Operation to Register Files Kimish Patel, Wonbok Lee, Massoud Pedram University of Southern California Los Angeles CA August 28 th, 2007 Introduction Outline Conditional

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

a) Memory management unit b) CPU c) PCI d) None of the mentioned

a) Memory management unit b) CPU c) PCI d) None of the mentioned 1. CPU fetches the instruction from memory according to the value of a) program counter b) status register c) instruction register d) program status word 2. Which one of the following is the address generated

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

Parallel Streaming Computation on Error-Prone Processors. Yavuz Yetim, Margaret Martonosi, Sharad Malik

Parallel Streaming Computation on Error-Prone Processors. Yavuz Yetim, Margaret Martonosi, Sharad Malik Parallel Streaming Computation on Error-Prone Processors Yavuz Yetim, Margaret Martonosi, Sharad Malik Upsets/B muons/mb Average Number of Dopant Atoms Hardware Errors on the Rise Soft Errors Due to Cosmic

More information

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Paul Ampadu, Meilin Zhang Dept. of Electrical and Computer Engineering University of Rochester Rochester, NY, 14627, USA

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory The basic element of a semiconductor memory is the memory cell. Although a variety of

More information

Optimizing Standby

Optimizing Standby Optimizing Power @ Standby Memory Benton H. Calhoun Jan M. Rabaey Chapter Outline Memory in Standby Voltage Scaling Body Biasing Periphery Memory Dominates Processor Area SRAM is a major source of static

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Markus Rudack Dirk Niggemeyer Laboratory for Information Technology Division Design & Test University of Hannover

More information

CS 152 Computer Architecture and Engineering. Lecture 7 - Memory Hierarchy-II

CS 152 Computer Architecture and Engineering. Lecture 7 - Memory Hierarchy-II CS 152 Computer Architecture and Engineering Lecture 7 - Memory Hierarchy-II Krste Asanovic Electrical Engineering and Computer Sciences University of California at Berkeley http://www.eecs.berkeley.edu/~krste!

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

FAULT- AND YIELD-AWARE ON-CHIP MEMORY DESIGN AND MANAGEMENT. by Hyunjin Lee B.S. in Electrical Engineering, Seoul National University, Korea, 1999

FAULT- AND YIELD-AWARE ON-CHIP MEMORY DESIGN AND MANAGEMENT. by Hyunjin Lee B.S. in Electrical Engineering, Seoul National University, Korea, 1999 FAULT- AND YIELD-AWARE ON-CHIP MEMORY DESIGN AND MANAGEMENT by Hyunjin Lee B.S. in Electrical Engineering, Seoul National University, Korea, 1999 Submitted to the Graduate Faculty of the Department of

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

CS250 VLSI Systems Design Lecture 9: Memory

CS250 VLSI Systems Design Lecture 9: Memory CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled

More information

Slide Set 9. for ENCM 369 Winter 2018 Section 01. Steve Norman, PhD, PEng

Slide Set 9. for ENCM 369 Winter 2018 Section 01. Steve Norman, PhD, PEng Slide Set 9 for ENCM 369 Winter 2018 Section 01 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary March 2018 ENCM 369 Winter 2018 Section 01

More information

ARCHITECTURE DESIGN FOR SOFT ERRORS

ARCHITECTURE DESIGN FOR SOFT ERRORS ARCHITECTURE DESIGN FOR SOFT ERRORS Shubu Mukherjee ^ШВпШшр"* AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO T^"ТГПШГ SAN FRANCISCO SINGAPORE SYDNEY TOKYO ^ P f ^ ^ ELSEVIER Morgan

More information

2 Asst Prof, ECE Dept, Kottam College of Engineering, Chinnatekur, Kurnool, AP-INDIA.

2 Asst Prof, ECE Dept, Kottam College of Engineering, Chinnatekur, Kurnool, AP-INDIA. www.semargroups.org ISSN 2319-8885 Vol.02,Issue.06, July-2013, Pages:480-486 Error Correction in MLC NAND Flash Memories Based on Product Code ECC Schemes B.RAJAGOPAL REDDY 1, K.PARAMESH 2 1 Research Scholar,

More information

Self-checking combination and sequential networks design

Self-checking combination and sequential networks design Self-checking combination and sequential networks design Tatjana Nikolić Faculty of Electronic Engineering Nis, Serbia Outline Introduction Reliable systems Concurrent error detection Self-checking logic

More information

REPLACING 6T SRAMS WITH 3T1D DRAMS IN THE L1 DATA CACHE TO COMBAT PROCESS VARIABILITY

REPLACING 6T SRAMS WITH 3T1D DRAMS IN THE L1 DATA CACHE TO COMBAT PROCESS VARIABILITY ... REPLACING 6T SRAMS WITH 3T1D DRAMS IN THE L1 DATA CACHE TO COMBAT PROCESS VARIABILITY... Xiaoyao Liang Harvard University Ramon Canal Universitat Politècnica de Catalunya Gu-Yeon Wei David Brooks Harvard

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

A Low-Cost Correction Algorithm for Transient Data Errors

A Low-Cost Correction Algorithm for Transient Data Errors A Low-Cost Correction Algorithm for Transient Data Errors Aiguo Li, Bingrong Hong School of Computer Science and Technology Harbin Institute of Technology, Harbin 150001, China liaiguo@hit.edu.cn Introduction

More information

ECC Protection in Software

ECC Protection in Software Center for RC eliable omputing ECC Protection in Software by Philip P Shirvani RATS June 8, 1999 Outline l Motivation l Requirements l Coding Schemes l Multiple Error Handling l Implementation in ARGOS

More information

Puey Wei Tan. Danny Lee. IBM zenterprise 196

Puey Wei Tan. Danny Lee. IBM zenterprise 196 Puey Wei Tan Danny Lee IBM zenterprise 196 IBM zenterprise System What is it? IBM s product solutions for mainframe computers. IBM s product models: 700/7000 series System/360 System/370 System/390 zseries

More information

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Iswarya Gopal, Rajasekar.T, PG Scholar, Sri Shakthi Institute of Engineering and Technology, Coimbatore, Tamil Nadu, India Assistant

More information

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing T. Sudalaimani 1, Mr. S. Muthukrishnan 2 1 PG Scholar, (Applied Electronics), 2 Associate

More information

Distance Associativity for High-Performance Energy-Efficient Non-Uniform Cache Architectures

Distance Associativity for High-Performance Energy-Efficient Non-Uniform Cache Architectures Distance Associativity for High-Performance Energy-Efficient Non-Uniform Cache Architectures Zeshan Chishti, Michael D. Powell, and T. N. Vijaykumar School of Electrical and Computer Engineering, Purdue

More information

CS 152 Computer Architecture and Engineering. Lecture 7 - Memory Hierarchy-II

CS 152 Computer Architecture and Engineering. Lecture 7 - Memory Hierarchy-II CS 152 Computer Architecture and Engineering Lecture 7 - Memory Hierarchy-II Krste Asanovic Electrical Engineering and Computer Sciences University of California at Berkeley http://www.eecs.berkeley.edu/~krste

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin Lee Electrical Engineering Stanford University Stanford EE382 2 December 2009 Benjamin Lee 1 :: PCM :: 2 Dec 09 Memory Scaling density,

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Reliable Architectures

Reliable Architectures 6.823, L24-1 Reliable Architectures Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 6.823, L24-2 Strike Changes State of a Single Bit 10 6.823, L24-3 Impact

More information

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic International Journal of Engineering and Applied Sciences (IJEAS) A Review paper on the Memory Built-In Self-Repair with Redundancy Logic Er. Ashwin Tilak, Prof. Dr.Y.P.Singh Abstract The Present review

More information

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P.

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. Chapter 8 Coping with Physical Failures, Soft Errors, and Reliability Issues System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 1 1 What is this chapter about? Gives an Overview of and

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity Donghyuk Lee Carnegie Mellon University Problem: High DRAM Latency processor stalls: waiting for data main memory high latency Major bottleneck

More information

Correction Prediction: Reducing Error Correction Latency for On-Chip Memories

Correction Prediction: Reducing Error Correction Latency for On-Chip Memories Correction Prediction: Reducing Error Correction Latency for On-Chip Memories Henry Duwe University of Illinois at Urbana-Champaign Email: duweiii2@illinois.edu Xun Jian University of Illinois at Urbana-Champaign

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University Self-Repair for Robust System Design Yanjing Li Intel Labs Stanford University 1 Hardware Failures: Major Concern Permanent: our focus Temporary 2 Tolerating Permanent Hardware Failures Detection Diagnosis

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

Slide Set 5. for ENCM 501 in Winter Term, Steve Norman, PhD, PEng

Slide Set 5. for ENCM 501 in Winter Term, Steve Norman, PhD, PEng Slide Set 5 for ENCM 501 in Winter Term, 2017 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary Winter Term, 2017 ENCM 501 W17 Lectures: Slide

More information