Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P.

Size: px
Start display at page:

Download "Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P."

Transcription

1 Chapter 8 Coping with Physical Failures, Soft Errors, and Reliability Issues System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 1 1

2 What is this chapter about? Gives an Overview of and Promising Solutions to the Causes of Manufacturing Defects and Soft Errors Focus on Signal Integrity Defect-Based Tests Process Sensors and Adaptive Design Soft Errors BISER Circuit-Level Approaches Defect and Error Tolerance System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 2 2

3 Coping with Physical Failures, Soft Errors, and Reliability Issues Introduction Signal Integrity Manufacture Defects, Process Variations, and Reliability Soft Errors Defect and Error Tolerance Concluding Remarks System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 3 3

4 Introduction Defects Random defects Caused by manufacturing imperfections and occur in random places Systematic defects Caused by process or manufacturing variations Defect level (DL) is a function of process yield (Y) and fault coverage (FC) DL= 1 Y ( 1 FC) System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 4 4

5 Concept of Signal Integrity Signal integrity is the ability of a signal to generate correct responses in a circuit. A signal with good integrity stays within safe margins for its voltage amplitude and transition time. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 5 5

6 Basic Concept of Integrity Loss Integrity Loss: any portion of signal that exceeds amplitude-safe and time-safe margin. ( IL ( IntegrityLoss) = V f ( t) dt) i where Vi is one of the acceptable amplitude levels and b i, e i is a time frame during which integrity loss occurs. ei bi i [ ] System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 6 6

7 Sources of Integrity Loss Interconnects Power Supply Noise Process Variations System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 7 7

8 Integrity Loss Sensors/Monitors (1) Current Sensor Current sensors are often used to detect the completion of asynchronous circuits. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 8 8

9 Integrity Loss Sensors/Monitors (2) Power Supply Noise Sensor V x The voltage depends on the power/ground bounces: the higher the PSN is, the longer the propagation and the higher the voltage V x will be. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 9 9

10 Integrity Loss Sensors/Monitors (3) Noise Detector (ND) Sensor ND sensor is designed to detect integrity loss due to voltage violations. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

11 Integrity Loss Sensors/Monitors (4) Integrity Loss Sensor (ILS) The integrity loss sensor is a delay violation sensor. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

12 Integrity Loss Sensors/Monitors (5) Jitter Monitor Jitter is often defined as the time deviation of a signal from its ideal location in time. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

13 Integrity Loss Sensors/Monitors (6) A ring oscillator can work as a Process Variation Sensor The variation of delay caused by PV-faults in any of the inverters in the loop results in deviation in the frequency of the oscillator, which can be detected. 1 2 f µεw ( )( ) 2 RO VGS Vt (1 N V C 2T + inv dd Load ox K L eff V DS ) RO = ( N invtinv ), where N inv is an odd number of inverters and is the delay of one inverter. f 1 T inv System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

14 Readout Architectures (1) BIST-Based Architecture BIST Architecture Readout Circuitry When a noise or delay violation occurs (flag=1), the contents of all scan cells are then scanned out through Sout for further reliability and diagnosis analysis. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

15 Readout Architectures (2) Scan-Based Architecture At the driving side of an interconnect, pattern generation BSC(PGBSC) is used to generate test patterns. At the receiving side of the interconnect, an observation BSC(OBSC) is used to detect integrity loss. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

16 Readout Architectures (3) Basic Concept of PV-Test Architecture On-chip ROs with counters, embedded in a test chip are used to detect process variation by measuring the RO s frequency shifts. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

17 Manufacture Defects, Process Variations, and Reliability 100% single stuck-at fault coverage cannot guarantee perfect product quality, because there are remaining defects that are: Timing-dependent Sequence-dependent Attributed to timing-dependent, non-single-stuck-at faults System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

18 Structural Tests A Defect-Based Test Architecture RTL Library RC Extraction Layout ATPG Synthesis Modeling Timing Analysis Defect-Based Fault Enumeration Structural Tests Gate-level Netlist Path Extractor Physical Faults Defect-Based Fault Simulator Critical Path List Fault Mapping Functional Tests Fault List Logical Fault List Defect-Based ATPG Defect-Based Tests System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

19 Defect-Based Tests Small Delay Defect Tests Bridge Defect Tests N-Detect Tests I ddq MinV DD Tests VLV Tests Tests System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

20 Reliability Stress Concept of Infant Mortality Methods to screen infant mortality Method I - Burn-in ttf = c E A e kt Where ttf is time to failure, C is a constant, is the activation energy (ev), k is the boltzman s constant, and T is an absolute temperature. E A Method II - Elevated Voltage Stress System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

21 Redundancy and Memory Repair Redundancy: Spare rows, columns, or blocks Repair schemes: Pellston Technology [Wuu 2005]: If repeated error are detected, disable cache line (set not to use bit) Perform memory BIST at new operating conditions; exclude failing cells and resize cache (cache size can vary larger or smaller, depending on whether new conditions are more favourable or worse) System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

22 Process Sensors and Adaptive design Compare traditional test structures put on the scribe lines and embed additional process sensors on-chip. On-Chip Process Sensors: Process Variation Sensor Thermal Sensor Dynamic Voltage Scaling System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

23 Process variation Sensor Ring oscillators: Many factors can affect the frequency of the ring oscillator such as process variation, temperature and voltage. Analog Process Variation Sensor: The analog circuit will be sensitive to different process parameters. Neither can report the process variation at the specific spot on the die and unlikely to extract and analyze the data in real time. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

24 Thermal Sensor On-chip thermal sensors are the last defence to prevent system crash or permanent damage to the chip. Thermal sensor example: Vref_diode Vb _ + Vref_diode V b I1 I2 I3 R1 vf Vref-1 R2 Vc Vref-n MUX Vref_TTLEVEL _ + Tx Detect N Vref_diode Figure 8.14:Thermal sensor example System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

25 Dynamic Voltage Scaling DVS Figure 8.15: Dynamic voltage scaling scheme System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

26 Dynamic Voltage Scaling (cont d) Use sleep transistors and dynamic biasing to save power Use the adaptive test method for smart binning System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

27 Soft Errors Introduction Sources of Soft Errors and SER Trends Coping with Soft Errors System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

28 Introduction Soft errors Soft errors are transient single-event upsets (SEUs) caused by various type of radiation Cosmic radiation is the major source of soft errors,especially in memories. Terrestrial radiation is another source of soft errors. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

29 Sources of Soft Errors and SER Trends If a glitch is induced at the junction (red label) in a memory element, its state can be reversed. Figure 8.16: Induced soft error on a SRAM cell System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

30 Sources of Soft Errors and SER Trends Logic circuits are less susceptible to these glitches than memories for the following reasons. The glitch must be of sufficient strength to propagate from the location of the strike. The glitch needs to have a functionally sensitized path to be latched. The glitch must arrive at a latch during its latching window. Figure 8.18: Masking factors of soft errors in combinational logic System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

31 Coping with Soft Errors As chips are susceptible to soft errors, many soft error protection schemes targeting chip designs have been proposed. Fault Tolerance Error-resilient microarchitectures soft errroe mitigation System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

32 Fault Tolerance Removing the source of soft errors to improve the reliability of a chip. Three fundamental fault tolerance schemes: Hardware (spatial) redundancy assumption that defects and radiation particles will only hit on a specific device and not another device Time (temporal) redundancy assumption that the radiation strike will not happen on the same circuitry against at a slightly later time Information redundancy using error-detecting code or error-correcting code to represent information contents System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

33 Fault Tolerance Common fault tolerance schemes used in high reliability system Duplicate and compare used in mainframes and high-end servers Triple modular redundancy used for systems that cannot fail Redundant multithreading using error-detecting code or error-correcting code to represent information contents System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

34 Error-Resilient Microarchitectures Two representative error-resilient processor microarchitectures DIVA Razor DIVA Dynamic Implementation Verification Architecture (DIVA) DIVA Checker a smaller and simpler shadow processor contain a functional checker stage (CHK), commit stage (CT), and a watchdog timer(wt) DIVA Core The main processor that fetches, decodes, and executes instructions, holding their speculative results in the reorder buffer (ROB) System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

35 Error-Resilient Microarchitectures Razor Dynamic voltage scaling (DVS) is one of the most effective and widely used methods for power-aware computing. The key idea of Razor is to tune the supply voltage by monitoring the error during circuit of operation; this is accomplished with a shadow unit, but this shadow unit has been pushed all the way down into a Razor flipflop. This Razor flip-flop is shown in Figure 8.21a. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

36 Error-Resilient Microarchitectures clk Logic Stage L1 D1 0 1 Main Flip-Flop Q1 Error_L Logic Stage L2 RAZOR FF Shadow Latch comparator Error clk_del Figure 8.21(a) Schematic of the Razor flip-flop System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

37 Error-Resilient Microarchitectures Razor A reduced overhead Razor flip-flop with the metastability detection circuit is illustrated in Figure 8.21b. D clk clk_b clk_del Error_L clk_del_b 0 1 clk_b clk Q Metastability Detector Inv_n Inv_p Error_L Shadow Latch Figure 8.21(b) Reduced overhead Razor flip-flop with metastability detection circuit System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

38 Soft Error Mitigation Soft error mitigation techniques are to provide partial immunity of a design to potential soft errors while significantly minimizing the required cost over fault tolerance schems. There are three soft error mitigation methods: (1) Built-In Soft-Error Resilience (BISER) BISER proposed in [Mitra 2005] can be used to allow scan design to protect a device from soft errors during normal operation. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

39 Soft Error Mitigation Figure 8.22 shows the BISER scan cell design that reduces the impact of soft errors affecting storage elements by more than 20 times. SCB SI SCA CAPTURE UPDATE D CLK TEST.... LA 1D C1 Q 2D C2 PH 2 C1 Q 1D Scan portion LB C1 1D Q PH 1 1D C1 Q 2D C2 System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 39 O2 O1 System flip-flop... C-element Figure 8.22: Built-in soft-error resilience (BISER) scan cell... Keeper SO Q 39

40 Soft Error Mitigation Circuit-level approaches (2) Gate resizing for soft error mitigation [Zhou 2006] is based on physical-level design modifications. Figure 8.23 illustrates the effect of gate resizing on the amplitude and width of a 0-to-1 transient at the output of a gate. Figure 8.23: Effect of gate resizing on the amplitude/width of SETs [Zhou 2006] System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

41 Soft Error Mitigation Circuit-level approaches (3) Netlist transformation for soft error mitigation [Almukhaizim 2006] is based on logic-level design modifications.. Figure 8.24: Example of rewiring to reduce the soft error failure rate System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

42 Defect and Error Tolerance Defect Tolerance Insert redundancy circuitry in a circuit under test The circuit can continue correct operation in the presence of defects. Error Tolerance Allow the circuit to continue acceptable operation in the presence of errors System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

43 Random Spot defects Assume a design consists N submodules. Each module has n unique positions where a defect would cause it to fail its tests. D defects uniformly distributed over the submodule. Number of defects in any submodule is independent of the number of defects in other submodules. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

44 Defect Probability Probability that an arbitrary position on a submodule is associated with a defect is: p = D / (nn) Probability of having d defects in a given submodule is: where P(d) = C(n,d)p d (1-p)n-d C(n,d) = n! / (d!(n-d)!) System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

45 Poisson Distribution P(d) is binomially distributed, the average number of defects in an arbitrary submodule is: E(d) = λ = np = D / N For large n and small p, the binomial distribution can be approximated by Poisson distribution P ( ) ( ) λ d d = e λ d! System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

46 Example Assume a submodule is equally likely to be defect-free or defective: Thus, λ = λ ( d = ) = ( 0 0 e λ /!) P 0 Effective yield can increase significantly if the system can accept some defective submodules. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

47 Probability of Having Exact d Defects at a Submodule as a Function of Yield (Y) for Various Values of Failure Rate λ d λ = Y = λ = Y = λ = Y = λ = λ = λ = Y = Y = Y = λ = Y = λ = Y = λ = Y = System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

48 Defect Tolerance M M M Switch Used to be called redundancy repair A typical defect-tolerant design is shown on the left Two spares (identical modules) A switch used to select one module System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

49 Error Tolerance The main Objective of error tolerance is to increase the effective yield of a process by identifying defective but acceptable chips This lies in the development of An accurate method to estimate error rate An effective method to predict yield System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

50 Fault-Oriented Test Methodology Enhance effective yield based on error-rate analysis Estimate error rate of each modeled fault A set of acceptable faults is identified based on their error rates IC Fabrication Fault Ranking Testing Acceptable Chips Unacceptable Chips System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

51 Error-Oriented Test Methodology IC Fabrication Testing Good Chips Focus on errors produced by defective chips rather than on modeled faults estimate the error rates of these chips determine the acceptability of the faulty chips by estimated results Bad Chips Error-Rate Estimation Estimated Error Rate Classification Based on Estimated Error Rate Acceptable Chip Set 1 Acceptable Chip Set 2 Unacceptable Chips System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

52 Concluding Remarks Circuit Errors can be caused by manufacturing defects and soft errors. Design for Manufacturability (DFM) Fault avoidance schemes to cope with physical failures caused by signal integrity, defects, and process variations during manufacturing. Design for Reliability (DFR) Embedded error resilience and defect tolerance circuitry on-chip to tolerate soft errors and manufacturing defects. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

Error Resilience in Digital Integrated Circuits

Error Resilience in Digital Integrated Circuits Error Resilience in Digital Integrated Circuits Heinrich T. Vierhaus BTU Cottbus-Senftenberg Outline 1. Introduction 2. Faults and errors in nano-electronic circuits 3. Classical fault tolerant computing

More information

ARCHITECTURE DESIGN FOR SOFT ERRORS

ARCHITECTURE DESIGN FOR SOFT ERRORS ARCHITECTURE DESIGN FOR SOFT ERRORS Shubu Mukherjee ^ШВпШшр"* AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO T^"ТГПШГ SAN FRANCISCO SINGAPORE SYDNEY TOKYO ^ P f ^ ^ ELSEVIER Morgan

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

Robust System Design with MPSoCs Unique Opportunities

Robust System Design with MPSoCs Unique Opportunities Robust System Design with MPSoCs Unique Opportunities Subhasish Mitra Robust Systems Group Departments of Electrical Eng. & Computer Sc. Stanford University Email: subh@stanford.edu Acknowledgment: Stanford

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 5 Processor-Level Techniques & Byzantine Failures Chapter 2 Hardware Fault Tolerance Part.5.1 Processor-Level Techniques

More information

ECE 259 / CPS 221 Advanced Computer Architecture II (Parallel Computer Architecture) Availability. Copyright 2010 Daniel J. Sorin Duke University

ECE 259 / CPS 221 Advanced Computer Architecture II (Parallel Computer Architecture) Availability. Copyright 2010 Daniel J. Sorin Duke University Advanced Computer Architecture II (Parallel Computer Architecture) Availability Copyright 2010 Daniel J. Sorin Duke University Definition and Motivation Outline General Principles of Available System Design

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design

DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design Or, how I learned to stop worrying and love complexity. http://www.eecs.umich.edu/~taustin Microprocessor Verification Task of determining

More information

RELIABILITY and RELIABLE DESIGN. Giovanni De Micheli Centre Systèmes Intégrés

RELIABILITY and RELIABLE DESIGN. Giovanni De Micheli Centre Systèmes Intégrés RELIABILITY and RELIABLE DESIGN Giovanni Centre Systèmes Intégrés Outline Introduction to reliable design Design for reliability Component redundancy Communication redundancy Data encoding and error correction

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

Transient Fault Detection and Reducing Transient Error Rate. Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof.

Transient Fault Detection and Reducing Transient Error Rate. Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof. Transient Fault Detection and Reducing Transient Error Rate Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof. Steven Swanson Outline Motivation What are transient faults? Hardware Fault Detection

More information

Self-checking combination and sequential networks design

Self-checking combination and sequential networks design Self-checking combination and sequential networks design Tatjana Nikolić Faculty of Electronic Engineering Nis, Serbia Outline Introduction Reliable systems Concurrent error detection Self-checking logic

More information

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University Self-Repair for Robust System Design Yanjing Li Intel Labs Stanford University 1 Hardware Failures: Major Concern Permanent: our focus Temporary 2 Tolerating Permanent Hardware Failures Detection Diagnosis

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina VLSI Testing Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques Overview 1. VLSI testing

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Safety and Reliability of Software-Controlled Systems Part 14: Fault mitigation

Safety and Reliability of Software-Controlled Systems Part 14: Fault mitigation Safety and Reliability of Software-Controlled Systems Part 14: Fault mitigation Prof. Dr.-Ing. Stefan Kowalewski Chair Informatik 11, Embedded Software Laboratory RWTH Aachen University Summer Semester

More information

Ultra Low Power (ULP) Challenge in System Architecture Level

Ultra Low Power (ULP) Challenge in System Architecture Level Ultra Low Power (ULP) Challenge in System Architecture Level - New architectures for 45-nm, 32-nm era ASP-DAC 2007 Designers' Forum 9D: Panel Discussion: Top 10 Design Issues Toshinori Sato (Kyushu U)

More information

Reliability Improvement in Reconfigurable FPGAs

Reliability Improvement in Reconfigurable FPGAs Reliability Improvement in Reconfigurable FPGAs B. Chagun Basha Jeudis de la Comm 22 May 2014 1 Overview # 2 FPGA Fabrics BlockRAM resource Dedicated multipliers I/O Blocks Programmable interconnect Configurable

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

Chip, Heal Thyself. The BulletProof Project

Chip, Heal Thyself. The BulletProof Project Chip, Heal Thyself Todd Austin Advanced Computer Architecture Lab University of Michigan With Prof. Valeria Bertacco, Prof. Scott Mahlke Kypros Constantinides, Smitha Shyam Mojtaba Mehrara, Mona Attariyan,

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1 Chapter 7 Logic Diagnosis VLSI EE4 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. Outline Introduction Combinational Logic Diagnosis Scan Chain Diagnosis Logic BIST Diagnosis Conclusion

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

Ultra Low-Cost Defect Protection for Microprocessor Pipelines

Ultra Low-Cost Defect Protection for Microprocessor Pipelines Ultra Low-Cost Defect Protection for Microprocessor Pipelines Smitha Shyam Kypros Constantinides Sujay Phadke Valeria Bertacco Todd Austin Advanced Computer Architecture Lab University of Michigan Key

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs

Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs Abdelmajid Bouajila 1, Andreas Bernauer 2, Andreas Herkersdorf 1, Wolfgang Rosenstiel 2,3, Oliver

More information

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication Abstract: Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication The presence of different noise sources and continuous increase in crosstalk in the deep sub micrometer

More information

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University Built-In Self-Test for System-on on-chip: A Case Study Charles Stroud, Srinivas Garimella,, John Sunwoo, Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

More information

Verification and Testing

Verification and Testing Verification and Testing He s dead Jim... L15 Testing 1 Verification versus Manufacturing Test Design verification determines whether your design correctly implements a specification and hopefully that

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN 255 CORRECTIONS TO FAULT SECURE OF MAJORITY LOGIC DECODER AND DETECTOR FOR MEMORY APPLICATIONS Viji.D PG Scholar Embedded Systems Prist University, Thanjuvr - India Mr.T.Sathees Kumar AP/ECE Prist University,

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy

A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy Andrew L. Baldwin, BS 09, MS 12 W. Robert Daasch, Professor Integrated Circuits Design and Test Laboratory Problem Statement In a fault

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

ALMA Memo No Effects of Radiation on the ALMA Correlator

ALMA Memo No Effects of Radiation on the ALMA Correlator ALMA Memo No. 462 Effects of Radiation on the ALMA Correlator Joseph Greenberg National Radio Astronomy Observatory Charlottesville, VA July 8, 2003 Abstract This memo looks specifically at the effects

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

outline Reliable State Machines MER Mission example

outline Reliable State Machines MER Mission example outline Reliable State Machines Dr. Gary R Burke California Institute of Technology Jet Propulsion Laboratory Background JPL MER example JPL FPGA/ASIC Process Procedure Guidelines State machines Traditional

More information

Cost-Efficient Soft Error Protection for Embedded Microprocessors

Cost-Efficient Soft Error Protection for Embedded Microprocessors Cost-Efficient Soft Error Protection for Embedded Microprocessors Jason A. Blome, Shantanu Gupta, Shuguang Feng, Scott Mahlke Advanced Computer Architecture Lab University of Michigan - Ann Arbor, MI {jblome,

More information

!"#$%&'()'*)+,-.,%%(.,-)/+&%#0(.#"&)",1)+&%#0(',.#2)+,-.,%%(.,-3)

!#$%&'()'*)+,-.,%%(.,-)/+&%#0(.#&),1)+&%#0(',.#2)+,-.,%%(.,-3) Bridging And Open Faults Detection In A Two Flip-Flop Synchronizer A thesis submitted to the faculty of University Of Cincinnati,OH in partial fulfillment of the requirements for the degree of Master of

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

Comparison of SET-Resistant Approaches for Memory-Based Architectures

Comparison of SET-Resistant Approaches for Memory-Based Architectures Comparison of SET-Resistant Approaches for Memory-Based Architectures Daniel R. Blum and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman,

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309

Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309 June 25th, 2007 Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309 Christopher Temple Automotive Systems Technology Manager Overview Functional Safety Basics Functional

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Faults, Testing & Test Generation

Faults, Testing & Test Generation Faults, Testing & Test Generation Smith Text: Chapter 14.1,14.3, 14.4 Mentor Graphics/Tessent: Scan and ATPG Process Guide ATPG and Failure Diagnosis Tools Reference Manual (access via mgcdocs ) ASIC Design

More information

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Latches SEU en techno IBM 130nm pour SLHC/ATLAS CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Outline Introduction Description of the DICE latch Different implemented layouts for the

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

WITH the continuous decrease of CMOS feature size and

WITH the continuous decrease of CMOS feature size and IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 5, MAY 2012 777 IVF: Characterizing the Vulnerability of Microprocessor Structures to Intermittent Faults Songjun Pan, Student

More information

Formal Equivalence Checking. Logic Verification

Formal Equivalence Checking. Logic Verification Formal Equivalence Checking Logic Verification Verification of Test Gate RTL Full-chip functional verification Equivalence Checking Schematic Full-chip functional verification to verify the correctness

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

Dependable VLSI Platform using Robust Fabrics

Dependable VLSI Platform using Robust Fabrics Dependable VLSI Platform using Robust Fabrics Director H. Onodera, Kyoto Univ. Principal Researchers T. Onoye, Y. Mitsuyama, K. Kobayashi, H. Shimada, H. Kanbara, K. Wakabayasi Background: Overall Design

More information

Implementation of single bit Error detection and Correction using Embedded hamming scheme

Implementation of single bit Error detection and Correction using Embedded hamming scheme Implementation of single bit Error detection and Correction using Embedded hamming scheme Anoop HK 1, Subodh kumar panda 2 and Vasudeva G 1 M.tech(VLSI & ES), BNMIT, Bangalore 2 Assoc Prof,Dept of ECE,

More information

Core Research for Evolutional Science & Technology (CREST) "Fundamental Technologies for Dependable VLSI Systems (DVLSI)"

Core Research for Evolutional Science & Technology (CREST) Fundamental Technologies for Dependable VLSI Systems (DVLSI) 0 Core Research for Evolutional Science & Technology (CREST) "Fundamental Technologies for Dependable VLSI Systems (DVLSI)" Circuit and System Mechanisms for High Field Reliability - DART Technology -

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Vijay G. Savani, Akash I. Mecwan, N. P. Gajjar Institute of Technology, Nirma University vijay.savani@nirmauni.ac.in, akash.mecwan@nirmauni.ac.in,

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Mosel Vitelic (IBM-Siemens) V53C181608K60 1Mx16 CMOS EDO DRAM

Mosel Vitelic (IBM-Siemens) V53C181608K60 1Mx16 CMOS EDO DRAM May 19, 1998 Mosel Vitelic (IBM-Siemens) V53C181608K60 1Mx16 CMOS EDO DRAM Abstract: The Mosel Vitelic V53C181608K60 is a 1Mx16 CMOS DRAM featuring EDO Page Mode Operation, self-refresh, hidden refresh

More information

Checker Processors. Smruti R. Sarangi. Department of Computer Science Indian Institute of Technology New Delhi, India

Checker Processors. Smruti R. Sarangi. Department of Computer Science Indian Institute of Technology New Delhi, India Advanced Department of Computer Science Indian Institute of Technology New Delhi, India Outline Introduction Advanced 1 Introduction 2 Checker Pipeline Checking Mechanism 3 Advanced Core Checker L1 Failure

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

About the Instructor

About the Instructor About the Instructor Kwang-Ting (Tim) Cheng PhD, 1988, Univ. of California, Berkeley 1988-1993: AT&T Bell Labs 1993-Present: Professor, Dept. of ECE, Univ. of California, Santa Barbara 1999-2002: Director,

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

Selecting PLLs for ASIC Applications Requires Tradeoffs

Selecting PLLs for ASIC Applications Requires Tradeoffs Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform

More information

AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors

AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors Computer Sciences Department University of Wisconsin Madison http://www.cs.wisc.edu/~ericro/ericro.html ericro@cs.wisc.edu High-Performance

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information