Nur A. Touba. Professor Department of Electrical and Computer Engineering The University of Texas at Austin

Size: px
Start display at page:

Download "Nur A. Touba. Professor Department of Electrical and Computer Engineering The University of Texas at Austin"

Transcription

1 Nur A. Touba Professor Department of Electrical and Computer Engineering The University of Texas at Austin Education: B.S. Electrical Engineering, University of Minnesota, 9/86-6/90 M.S. Electrical Engineering, Stanford University, 9/90-6/91 Ph.D. Electrical Engineering, Stanford University, 9/91-6/96 - Dissertation Title: Synthesis Techniques for Pseudo-Random Built-In Self-Test - Ph.D. Advisor: Edward J. McCluskey Academic Positions: 9/96 8/01 Assistant Professor, Dept. of Electrical and Computer Engineering, University of Texas, Austin. 9/01 8/07 Associate Professor, Dept. of Electrical and Computer Engineering, University of Texas, Austin. 9/07 Professor, Dept. of Electrical and Computer Engineering, University of Texas, Austin. Professional Experience: 9/91-6/92 Teaching Assistant, Dept. of Electrical Engineering, Stanford University. 6/92-8/92 Teaching Fellow, Dept. of Electrical Engineering, Stanford University. 9/92-6/96 Research Assistant, Center for Reliable Computing, Stanford University. 7/93-9/93 Summer Intern, Philips Research, Palo Alto, CA. 7/94-8/94 Visiting Scholar, Dept. of Electrical Engineering, Bilkent University, Turkey. 7/96-8/96 Research Associate, Center for Reliable Computing, Stanford University. Honors and Awards: Summa Cum Laude Graduate of University of Minnesota 1990 Fellowship Recipient, Dept. of Electrical Engineering, Stanford University 1997 National Science Foundation CAREER Award 2001 Engineering Foundation Faculty Award, College of Engineering, UT-Austin 2001 Best Paper Award, IEEE VLSI Test Symposium 2001 Best Panel Award, IEEE International Test Conference 2006 General Motors Foundation Centennial Teaching Fellowship in Electrical Engineering 2008 Best Paper Award, IEEE Defect and Fault Tolerance Symposium 2009 Fellow of IEEE 1

2 Memberships in Professional and Honorary Societies: Fellow, Institute of Electrical and Electronics Engineers (IEEE) Eta Kappa Nu (HKN) Electrical Engineering Honor Society Books: Book Chapters - X. Li, K.-J. Lee, and N.A. Touba, Test Compression, in VLSI Test Principles and Architectures: Design for Testability, (ed. L.-T. Wang, C.-W. Wu, and X. Wen), pp , Morgan Kaufmann, ISBN , N.A. Touba, Fault-Tolerant Design, in System-on-Chip Test Architectures: Towards Nanometer VLSI Design, pp , (ed. L.-T. Wang, C.E. Stroud, and N.A. Touba), Morgan Kaufmann, ISBN , X. Chen and N.A. Touba, Fundamentals of CMOS Design, in Electronic Design Automation: Synthesis, Verification, and Test, pp , (ed. L.-T. Wang, Y.-W. Chang, and K.-T. Cheng), Morgan Kaufmann, ISBN , Editor of Books - L.-T. Wang, C.E. Stroud, and N.A. Touba, System-on-Chip Test Architectures: Towards Nanometer VLSI Design, Morgan Kaufmann, ISBN , Refereed Archival Journal Publications: 1. N.A. Touba and E.J. McCluskey, Logic Synthesis of Multilevel Circuits with Concurrent Error Detection, IEEE Transactions on Computer-Aided Design, Vol. 16, No. 7, pp , Jul N.A. Touba and B. Pouya, "Using Partial Isolation Rings to Test Core-Based Designs ", IEEE Design & Test, pp , Oct N.A. Touba and E.J. McCluskey, "RP-SYN: Synthesis of Random Pattern Testable Circuits with Test Point Insertion", IEEE Transactions on Computer-Aided Design, Vol. 18, No. 8, pp , Aug D. Das and N.A. Touba, "Synthesis of Circuits with Low-Cost Concurrent Error Detection Based on Bose-Lin Codes", Journal on Electronic Testing: Theory and Applications (JETTA), Vol. 15, Issue 1/2, pp , Aug N.A. Touba and E.J. McCluskey, Bit-Fixing in Pseudo-Random Sequences for Scan BIST, IEEE Transactions on Computer-Aided Design, Vol. 20, No. 4, pp , Apr A. Jas and N.A. Touba, "Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor", Journal on Electronic Testing: Theory and Applications (JETTA), Vol. 18, Issue 4/5, pp , Aug N.A. Touba, Circular BIST with State Skipping, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 10, No. 5, pp , Oct

3 8. A. Jas, J. Ghosh-Dastidar, M.-E. Eng, and N.A. Touba, "An Efficient Test Vector Compression Scheme Using Selective Huffman Coding", IEEE Transactions on Computer-Aided Design, Vol. 22, No. 6, pp , Jun L. Li, K. Chakrabarty, and N.A. Touba, "Test Data Compression using Dictionaries with Selective Entries and Fixed-Length Indices", ACM Transactions on Design Automation of Electronic Systems, Vol. 8, Issue 4, pp , Oct K.J. Balakrishnan and N.A. Touba, "Matrix-Based Software Test Data Decompression for Systems-on-a-Chip", Journal of Systems Architecture, Vol. 50, Issue 5, pp , Apr A. Jas, B. Pouya, and N.A. Touba, "Test Data Compression Technique for Embedded Cores Using Virtual Scan Chains", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 7, pp , Jul C.V Krishna, A. Jas, and N.A. Touba, "Achieving High Encoding Efficiency with Partial Dynamic Reseeding", ACM Transactions on Design Automation of Electronic Systems, Vol. 9, Issue 4, pp , Oct K. Mohanram and N.A. Touba, "Lowering Power Consumption in Concurrent Checkers via Input Ordering", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 11, pp , Nov A. Jas, C.V. Krishna, and N.A. Touba, "Weighted Pseudo-Random Hybrid BIST", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 12, pp , Dec S. Ghosh, S. Basu, and N.A. Touba, " Selecting Error Correcting Codes to Minimize Power in Memory Checker Circuits", Journal of Low Power Electronics, Vol. 1, No. 1, pp , Apr E. MacDonald and N.A. Touba, Delay Testing of Partially-Depleted Silicon-on- Insulator (PD-SOI) Integrated Circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 14, No. 6, pp , Jun N.A. Touba, Survey of Test Vector Compression Techniques, IEEE Design & Test, Vol. 23, Issue 4, pp , Jul K.J. Balakrishnan and N.A. Touba, Improving Linear Test Data Decompression, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 14, No. 11, pp , Nov J. Lee and N.A. Touba, LFSR Reseeding Scheme Achieving Low Power Dissipation During Test, IEEE Transactions on Computer-Aided Design, Vol. 26, No. 2, pp , Feb K. Balakrishnan and N.A. Touba, Relationship Between Entropy and Test Data Compression, IEEE Transactions on Computer-Aided Design, Vol. 23, No. 4, pp , Feb J. Lee and N.A. Touba, "Correlation-Based Rectangular Encoding", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 18, No. 10, pp , Oct

4 22. J.-S. Yang and N.A. Touba, "Efficient Trace Signal Selection for Silicon Debug by Error Transmission Analysis", IEEE Transactions on Computer-Aided Design, Vol. 31, No. 3, pp , Mar J.-S. Yang and N.A. Touba, "X-Canceling MISR Architectures for Output Response Compaction with Unknown Values", IEEE Transactions on Computer-Aided Design, Vol. 31, No. 9, pp , Sep J.-S. Yang and N.A. Touba, "Test Point Insertion with Control Points Driven by Existing Functional Flip-Flops", IEEE Transactions on Computers, Vol. 61, No. 10, pp , Oct J.-S. Yang and N.A. Touba, "Improved Trace Buffer Observation via Selective Data Capture Using 2-D Compaction for Post-Silicon Debug", IEEE Transactions on Very Large Scale Integration (VLSI), Vol. 21, No. 12, pp , Feb J.-S. Yang and N.A. Touba, "Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression," IEEE Transactions on Computer-Aided Design, Vol. 33, No. 8, pp , Aug M.T. Rab, A.A. Bawa, and N.A. Touba, "Reducing Cost of Yield Enhancement in 3D Stacked Memories via Asymmetric Layer Repair Capability", IEEE Transactions on Very Large Scale Integration (VLSI). (Accepted for Publication) Refereed Conference Publications: 1. N.A. Touba and E.J. McCluskey, Automated Logic Synthesis of Random Pattern Testable Circuits, Proc. of IEEE International Test Conference, pp , N.A. Touba and E.J. McCluskey, Logic Synthesis Techniques for Reduced Area Implementation of Multilevel Circuits with Concurrent Error Detection, Proc. of IEEE International Conference on Computer-Aided Design (ICCAD), pp , N.A. Touba and E.J. McCluskey, Transformed Pseudo-Random Patterns for BIST, Proc. of IEEE VLSI Test Symposium, pp , N.A. Touba and E.J. McCluskey, Synthesis of Mapping Logic for Generating Transformed Pseudo-Random Patterns for BIST, Proc. of IEEE International Test Conference, pp , N.A. Touba and E.J. McCluskey, Applying Two-Pattern Tests Using Scan-Mapping, Proc. of IEEE VLSI Test Symposium, pp , N.A. Touba and E.J. McCluskey, Test Point Insertion Based on Path Tracing, Proc. of IEEE VLSI Test Symposium, pp. 2-8, N.A. Touba and E.J. McCluskey, Altering a Pseudo-Random Bit Sequence for Scan- Based BIST, Proc. of IEEE International Test Conference, pp , N.A. Touba, Obtaining High Fault Coverage with Circular BIST Via State Skipping, Proc. of IEEE VLSI Test Symposium, pp , N.A. Touba and B. Pouya, Testing Embedded Cores Using Partial Isolation Rings, Proc. of IEEE VLSI Test Symposium, pp ,

5 10. B. Pouya and N.A. Touba, Modifying User-Defined Logic for Test Access to Embedded Cores, Proc. of IEEE International Test Conference, pp , N.A. Touba and E.J. McCluskey, "Pseudo-Random Pattern Testing of Bridging Faults ", Proc. of IEEE International Conference on Computer Design (ICCD), pp , D. Das and N.A. Touba, Synthesis of Circuits with Low-Cost Concurrent Error Detection Based on Bose-Lin Codes, Proc. of IEEE VLSI Test Symposium, pp , B. Pouya and N.A. Touba, Synthesis of Zero-Aliasing Elementary-Tree Space Compactors, Proc. of IEEE VLSI Test Symposium, pp , Z. Zhao, B. Pouya, and N.A. Touba, "BETSY: Synthesizing Circuits for a Specified BIST Environment", Proc. of IEEE International Test Conference, pp , A. Jas and N.A. Touba, "Test Vector Decompression Via Cyclical Scan Chains and Its Application to Testing Core-Based Designs", Proc. of IEEE International Test Conference, pp , J. Ghosh Dastidar and N.A. Touba, "A Systematic Approach for Diagnosing Multiple Delay Faults", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , M. Karkala, N.A. Touba, and H.-J. Wunderlich, "Special ATPG to Correlate Test Patterns for Low Overhead Mixed-Mode BIST", Proc. of IEEE Asian Test Symposium, pp , D. Das and N.A. Touba, "A Low Cost Approach for Detecting, Locating, and Avoiding Interconnet Faults in FPGA-Based Reconfigurable Systems", Proc. of International Conference on VLSI Design, pp , A. Jas, J. Ghosh-Dastidar, and N.A. Touba, "Scan Vector Compression/Decompression Using Statistical Coding", Proc. of IEEE VLSI Test Symposium, pp , J. Ghosh-Dastidar and N.A. Touba, "Adaptive Techniques for Improving Delay Fault Diagnosis", Proc. of IEEE VLSI Test Symposium, pp , D. Das and N.A. Touba, "Weight-Based Codes and Their Application to Concurrent Error Detection of Multilevel Circuits", Proc. of IEEE VLSI Test Symposium, pp , W. Quddus, A. Jas, and N.A. Touba, "Configuration Self-Test in FPGA-Based Reconfigurable Systems", Proc. of IEEE International Symposium on Circuits and Systems, pp , P.K. Jaini and N.A. Touba, "Observing Test Response of Embedded Cores through Surrounding Logic", Proc. of IEEE International Symposium on Circuits and Systems, pp , A. Jas and N.A. Touba, "Using an Embedded Processor for Efficient Deterministic Testing of Systems-on-a-Chip", Proc. of IEEE International Conference on Computer Design, pp , E. MacDonald and N.A. Touba, "Delay Testing of SOI Circuits: Challenges with the History Effect", Proc. of IEEE International Test Conference, pp ,

6 26. J. Ghosh-Dastidar, D. Das, and N.A. Touba, "Fault Diagnosis in Scan-Based BIST Using Both Time and Space Information", Proc. of IEEE Int. Test Conf., pp , A. Jas, K. Mohanram and N.A. Touba, "An Embedded Core DFT Scheme to Obtain Highly Compressed Test Sets", Proc. of IEEE Asian Test Symposium, pp , A. Jas, B. Pouya, and N.A. Touba, "Virtual Scan Chains: A Means for Reducing Scan Length in Cores", Proc. of IEEE VLSI Test Symposium, pp , J. Ghosh-Dastidar and N.A. Touba, "A Rapid and Scalable Diagnosis Scheme for BIST Environments with a Large Number of Scan Chains", Proc. of IEEE VLSI Test Symposium, pp , R. Sankaralingam, R.R. Oruganti, and N.A. Touba, "Static Compaction Techniques to Control Scan Vector Power Dissipation", Proc. of IEEE VLSI Test Symp., pp , J. Ghosh-Dastidar and N.A. Touba, "Diagnosing Resistive Bridges Using Adaptive Techniques", Proc. of IEEE Custom Integrated Circuits Conference, pp , D. Das and N.A. Touba, "Reducing Test Data Volume Using External/LBIST Hybrid Test Patterns", To Appear in Proc. of IEEE International Test Conference, pp , M. Ng and N.A. Touba, "Test Vector Compression Via Statistical Coding and Dynamic Compaction", Proc. of Autotestcon, pp , E. MacDonald and N.A. Touba, "Testing Domino Circuits in SOI Technology", Proc. of IEEE Asian Test Symposium, pp , A. Jas, C.V. Krishna, and N.A. Touba, "Hybrid BIST Based on Weighted Pseudo- Random Testing: A New Test Resource Partitioning Scheme", Proc. of IEEE VLSI Test Symposium, pp. 2-8, R. Sankaralingam, B. Pouya, and N.A. Touba, "Reducing Power Dissipation During Test Using Scan Chain Disable", Proc. of IEEE VLSI Test Symposium, pp , J. Ghosh Dastidar and N.A. Touba, "Improving Diagnostic Resolution of Delay Faults in FPGAs by Exploiting Reconfigurability", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , C.V. Krishna, A. Jas, and N.A. Touba, "Test Vector Encoding Using Partial LFSR Reseeding", Proc. of IEEE International Test Conference (ITC), pp , K. Mohanram, C.V. Krishna, and N.A. Touba "A Methodology for Automated Insertion of Concurrent Error Detection Hardware in Synthesizable Verilog RTL", Proc. of IEEE International Symposium on Circuits and Systems, pp , R. Sankaralingam and N.A. Touba, "Controlling Peak Power During Scan Testing", Proc. of IEEE VLSI Test Symposium (VTS), pp , E. MacDonald and N.A. Touba, "Very Low Voltage Testing of SOI Integrated Circuits", Proc. of IEEE VLSI Test Symposium (VTS), pp ,

7 42. C.V. Krishna and N.A. Touba, "Reducing Test Data Volume Using LFSR Reseeding with Seed Compression", Proc. of IEEE International Test Conference, pp , R. Sankaralingam and N.A. Touba, "Inserting Test Points to Control Peak Power During Scan Testing", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , K.J. Balakrishnan and N.A. Touba, "Matrix-Based Test Vector Decompression Using an Embedded Processor", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , K. Mohanram and N.A. Touba, "Input Ordering in Concurrent Checkers to Reduce Power Consumption", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , S.Ghosh, S.Basu, and N.A. Touba, "Joint Minimization of Power and Area in Scan Testing by Scan Cell Reordering", Proc. of IEEE Symposium on VLSI, pp , K. Mohanram and N.A. Touba, "Eliminating Non-Determinism During Test of High- Speed Source Synchronous Differential Buses", Proc. of IEEE VLSI Test Symposium, pp , K.J. Balakrishnan and N.A. Touba, "Deterministic Test Vector Decompression in Software Using Linear Operations", Proc. of IEEE VLSI Test Symposium, pp , K. Mohanram, E.S. Sogomonyan, M. Goessel, and N.A. Touba, "Synthesis of Low- Cost Parity-Based Partially Self-Checking Circuits", Proc. of International On-Line Test Symposium, pp , K. Mohanram and N.A. Touba, "Cost-Effective Approach for Reducing Soft Error Failure Rate in Logic Circuits", Proc. of IEEE International Test Conference, pp , C.V. Krishna and N.A. Touba, "Adjustable Width Linear Combinational Scan Vector Decompression", Proc. of ACM/IEEE International Conference on Computer-Aided Design (ICCAD), pp , K. Mohanram and N.A. Touba, "Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , C.V. Krishna and N.A. Touba, "Hybrid BIST Using an Incrementally Guided LFSR", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , K.J. Balakrishnan and N.A. Touba, "Scan-Based BIST Diagnosis Using an Embedded Processor", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , C.V. Krishna and N.A. Touba, "3-Stage Variable Length Continuous-Flow Scan Vector Decompression Scheme", Proc. of IEEE VLSI Test Symposium, pp , S. Ghosh, E. MacDonald, S. Basu, and N. A. Touba, "Low-Power Weighted Pseudo- Random BIST Using Special Scan Cells", Proc. of the ACM Great Lakes Symposium on VLSI, pp ,

8 57. K.J. Balakrishnan and N.A. Touba, "Relating Entropy Theory to Test Data Compression", Proc. of IEEE European Test Symposium, pp , J. Lee and N.A. Touba, "Low Power Test Data Compression Based on LFSR Reseeding", Proc. of IEEE International Conference on Computer Design, pp , S. Ghosh, S. Basu, and N.A. Touba, "Reducing Power Consumption in Memory ECC Checkers", Proc. of IEEE International Test Conference, pp , K.J. Balakrishnan and N.A. Touba, "Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion", Proc. of IEEE International Test Conference, pp , A. Dutta and N.A. Touba, Low Cost Test Vector Compression/Decompression Scheme for Circuits with a Reconfigurable Serial Multiplier, Proc. of IEEE Annual Symposium on VLSI, pp , S. Ghosh, S. Basu, and N.A. Touba, "Synthesis of Low Power CED Circuits Based on Parity Codes", Proc. of IEEE VLSI Test Symposium, pp , A. Dutta and N.A. Touba, "Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function", Proc. of IEEE International Test Conference, S.I. Ward, C. Schattauer, and N.A. Touba, Using Statistical Transformations to Improve Compression for Linear Decompressors, Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , J. Lee and N.A. Touba, Low Power BIST Based on Scan Partitioning, Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , K.J. Balakrishnan, N.A. Touba, S. Patil, "Compressing Functional Tests for Microprocessors", Proc. of IEEE Asian Test Symposium, pp , A. Dutta and N.A. Touba, Iterative OPDD Based Signal Probability Calculation, Proc. of VLSI Test Symposium, pp , J. Lee and N.A. Touba, Combining Linear and Non-Linear Test Vector Compression Using Correlation-Based Rectangular Coding, Proc. of VLSI Test Symposium, pp , A. Dutta and N.A. Touba, "Synthesis of Efficient Linear Test Pattern Generators", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , J. Lee and N.A. Touba, "Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition", Proc. of IEEE Asian Test Symposium, pp , A. Dutta and N.A. Touba, "Using Limited Dependence Sequential Expansion for Decompressing Test Vectors", Proc. of IEEE International Test Conference, Paper 23.1, R. Putman and N.A. Touba, "Using Multiple Expansion Ratios and Dependency Analysis to Improve Test Compression", Proc. of IEEE VLSI Test Symposium, pp , A. Dutta and N.A. Touba, "Multiple Bit Upset Tolerant Memory Using a Selective Cycle Avoidance Based SEC-DED-DAEC Code", Proc. of IEEE VLSI Test Symposium, pp ,

9 74. N.A. Touba, "X-Canceling MISR An X-Tolerant Methodology for Compacting Output Responses with Unknowns Using a MISR", Proc. of IEEE International Test Conference, Paper 6.2, A. Dutta and N.A. Touba, Reliable Network-on-Chip Using a Low Cost Unequal Error Protection Code, Proc. on IEEE Symposium on Defect and Fault Tolerance, pp. 3-11, R. Putman and N.A. Touba, "Using Reiterative LFSR Based X-Masking to Increase Output Compression in Presence of Unknowns", Proc. of IEEE Great Lakes Symposium on VLSI, J.-S. Yang and N.A. Touba, "Expanding Trace Buffer Observation Window for In- System Silicon Debug through Selective Capture ", Proc. of IEEE VLSI Test Symposium, pp , R. Garg, R. Putman, and N.A. Touba "Increasing Output Compaction in Presence of Unknowns using an X-Canceling MISR with Deterministic Observation", Proc. of IEEE VLSI Test Symposium, pp , J.-S. Yang and N.A. Touba, "Enhancing Silicon Debug via Periodic Monitoring", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , R. Datta and N.A. Touba, "Exploiting Unused Spare Columns to Improve Memory ECC" Proc. of IEEE VLSI Test Symposium, pp , J.-S. Yang and N.A. Touba, "Automated Selection of Signals to Observe for Efficient Silicon Debug" Proc. of IEEE VLSI Test Symposium, pp , J.-S. Yang, B. Nadeau-Dostie, and N.A. Touba, "Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , M. Rab, A. Bawa, and N.A. Touba, "Improving Memory Repair by Selective Row Partitioning", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , J.-S. Yang, N.A. Touba, S.-Y. Yang, and T.M. Mak, "Industrial Case Study for X- Canceling MISR", Proc. of IEEE International Test Conference, Paper 17.2, J.-S. Yang, N.A. Touba, and B. Nadeau-Dostie, "Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points", Proc. of IEEE International Test Conference, Paper L.-T. Wang, N.A. Touba, Z. Jiang, S. Wu, J.-L. Huang, and J. Li, CSER: BISERbased Concurrent Soft-Error Resilience, Proc. of IEEE VLSI Test Symposium, pp , R. Datta and N.A. Touba, "Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches", Proc. of IEEE International Test Conference, Paper 7.2, S. Wu, L.-T. Wang, L. Yu, H. Furukawa, X. Wen, W.-B. Jone, N.A. Touba, F. Zhao, J. Liu, H.-J. Chao, F. Li, and Z. Jiang, Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains, Proc. of IEEE Symposium on Defect and Fault Tolerance, pp ,

10 89. R. Datta and N.A. Touba, Designing a Fast and Adaptive Error Correction Scheme for Increasing the Lifetime of Phase Change Memories, Proc. of VLSI Test Symposium, pp , R. Datta and N.A. Touba, "Generating Burst-Error Correcting Codes from Orthogonal Latin Square Codes -- A Graph Theoretic Approach", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , R. Datta and N.A. Touba, "X-Stacking - A Method for Reducing Control Data for Output Compaction", Proc. of IEEE Symposium on Defect and Fault Tolerance, pp , J. Chung and N.A. Touba, "Exploiting X-Correlation in Output Compression via Superset X-Canceling", Proc. of VLSI Test Symposium", S.S. Muthyala and N.A. Touba, "Improving Test Compression by Retaining Non-Pivot Free Variables in Sequential Linear Decompressors", Proc. of Int. Test Conference, pp , A.A. Bawa, M.T. Rab, and N.A. Touba, "Using Partial Masking in X-Chains to Increase Output Compaction for an X-Canceling MISR", Proc. of Int. Symp. on Defect and Fault Tolerance, pp , M.T. Rab, A.A. Bawa, and N.A. Touba, "Implementing Defect Tolerance in 3D-ICs by Exploiting Degrees of Freedom in Assembly", Proc. of Int. Symp. on Defect and Fault Tolerance, pp , M.T. Rab, A.A. Bawa, and N.A. Touba, "Using Asymmetric Layer Repair Capability to Reduce the Cost of Yield Enhancement in 3D Stacked Memories", Proc. of Int. Conf. on VLSI and System-on-Chip, pp , S.S. Muthyala and N.A. Touba, "SOC Test Compression Scheme Using Sequential Linear Decompressors with Retained Free Variables", Proc. of VLSI Test Symposium, pp , Y.-W. Lee and N.A. Touba, "Unified 3D Test Architecture for Variable Test Data Bandwidth Across Pre-Bond, Partial Stack, and Post-Bond Test", Proc. of Int. Symp. on Defect and Fault Tolerance, pp , P. Reviriego, S.-F. Lu, S.-E. Lee, N.A. Touba, J.A. Maestro, and R.Datta, "Implementing Triple-Adjacent Error Correction in Double Error Correction Orthogonal Latin Square Codes", Proc. of Int. Symp. on Defect and Fault Tolerance, pp , A.A. Bawa, M.T. Rab, and N.A. Touba, "Efficient Compression of X-Masking Control Data via Dynamic Channel Allocation", Proc. of Int. Symp. on Defect and Fault Tolerance, pp , K. Saleem and N.A. Touba, "Efficient Algorithm for Test Vector Decompression Using an Embedded Processor", Proc. of Autotestcon Conference, S.S. Muthyala and N.A. Touba, "Reducing Test Time for 3D-ICs by Improved Utilization of Test Elevators ", Proc. of International Conference on Very Large Scale Integration and System-on-Chip, Paper M1B.3, S.S. Muthyala and N.A. Touba, "Improving Test Compression using Scan Feedforward Techniques", Proc. of International Test Conference, (Accepted) 10

11 Workshop Presentations: 1. N.A. Touba, "Synthesis of Random Pattern Testable Circuits", IEEE BAST Workshop, Feb N.A. Touba and E.J. McCluskey, "Logic Synthesis of Random Pattern Testable Circuits Using Algebraic Transformations," IEEE International Test Synthesis Workshop, May N.A. Touba, "Synthesis of Mapping Logic", IEEE BAST Workshop, Feb N.A. Touba and E.J. McCluskey, "Transformed Pseudo-Random Patterns for BIST", IEEE Built-In Self-Test / Design-For-Testability Workshop, Mar N.A. Touba and E.J. McCluskey, "Synthesis of Mapping Logic for Generating Transformed Pseudo-Random Patterns for BIST", IEEE Int. Test Synthesis Workshop, May N.A. Touba, "Test Point Insertion Based on Path Tracing", IEEE BAST Workshop, Feb N.A. Touba and E.J. McCluskey, "Partial Isolation Rings for Testing Embedded Cores", IEEE High-Level Design, Validation, and Test Workshop, Nov B. Pouya and N.A. Touba, "Modifying User-Defined Logic for Testing Access to Embedded Cores", IEEE International Test Synthesis Workshop, May M. Karkala and N.A. Touba, "Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST", IEEE International Test Synthesis Workshop, May B. Pouya and N.A. Touba, "Space Compaction in Core-Based Designs ", IEEE High-Level Design, Validation, and Test Workshop, Nov B. Pouya, Z. Zhao, and N.A. Touba, "Synthesizing Circuits with High Fault Coverage for a Specified BIST Environment", IEEE International Test Synthesis Workshop, Mar A. Jas and N.A. Touba, "Test Data Compression/Decompression Schemes for Testing Core- Based Designs", IEEE International Test Synthesis Workshop, Mar A. Jas and N.A. Touba, "Test Vector Compression/Decompression for Systems-on-a-Chip Using Statistical Coding", IEEE High-Level Design, Valid., and Test Workshop, Nov J. Ghosh-Dastidar, D. Das, and N.A. Touba, "Fault Diagnosis in Scan-Based BIST Using both Time and Space Information", IEEE Int. Test Synthesis Workshop, Mar A. Jas and N.A. Touba, "Efficient Testing of Systems-on-a-Chip Using an Embedded Processor", IEEE International Test Synthesis Workshop, Mar A. Jas and N.A. Touba, "Scan Length Reduction in Cores Using Virtual Scan Chains", IEEE International Workshop on Testing Embedded Core-based Systems, Apr D. Das and N.A. Touba, "Reducing Test Data Volume Using External/LBIST Hybrid Test Patterns", IEEE International Test Synthesis Workshop, Mar R. Sankaralingam and N.A. Touba, "Static Compaction Techniques to Control Scan Vector Power Dissipation", IEEE International Test Synthesis Workshop, Mar D. Das, N.A. Touba, M. Seuring, and M. Goessel, "Low Cost Concurrent Error Detection Based on Modulo Weight-Based Codes", IEEE On-Line Test Workshop, Jul R. Sankaralingam, N.A. Touba, and B. Pouya, "Disabling Scan Chains to Reduce Power Dissipation During Test", IEEE International Test Synthesis Workshop, Mar C.V. Krishna, A. Jas, and N.A. Touba, "Weighted Pseudo-Random Hybrid BIST", IEEE International Test Synthesis Workshop, Mar

12 22. C.V. Krishna, A. Jas, and N.A. Touba, "Reducing Test Data Volume for Cores Using Dynamic LFSR Reseeding", IEEE International Workshop on Testing Embedded Core-based Systems, May R. Sankaralingam and N.A. Touba, "Reducing Test Power Using Programmable Scan Chain Disable", IEEE International Workshop on Electronic Design, Test, and Applications, Jan E. McDonald and N.A. Touba, "Very Low Voltage Testing of SOI Integrated Circuits", IEEE Latin-American Test Workshop, Feb R. Sankaralingam and N.A. Touba, "Reducing Peak Power Consumption During Scan Testing by Vector Modification", IEEE International Test Synthesis Workshop, Mar C.V. Krishna and N.A. Touba, "Improving Test Data Compression Based on LFSR Reseeding", IEEE International Test Synthesis Workshop, Mar C.V. Krishna, N.A. Touba, and B. Pouya, "Improving Test Data Compression Based on LFSR Reseeding", IEEE International Test Synthesis Workshop, Mar K.J. Balakrishnan and N.A. Touba, "Matrix-Based Test Vector Decompression Using an Embedded Processor", IEEE Workshop on Microprocessor Test and Verification, Jun R. Sankaralingam and N.A. Touba, "Multi-Phase Shifting to Reduce Instantaneous Peak Power during Scan", IEEE Latin-American Test Workshop, Feb K.J. Balakrishnan and N.A. Touba, "Deterministic Test Vector Decompression in Software Using Linear Operations", IEEE International Test Synthesis Workshop, Apr K. Mohanram and N.A. Touba, "Non-Deterministic Behavior in the Test of High-Speed Packet Switched I/O Ports", IEEE International Test Synthesis Workshop, Apr K.J. Balakrishnan and N.A. Touba, "Using an Embedded Processor for Diagnostic Response Compaction", IEEE Workshop on Microprocessor Test and Verification, Jun J. Lee and N.A. Touba, "Low Power LFSR Reseeding", IEEE International Test Synthesis Workshop, Apr K.J. Balakrishnan and N.A. Touba, "Entropy Limits on Test Data Compression", IEEE International Test Synthesis Workshop, Apr K.J. Balakrishnan and N.A. Touba, "Compressing Functional Tests for Microprocessors", IEEE Workshop on Microprocessor Test and Verification, Sep A. Dutta and N.A. Touba, "SLING: A Procedure for Synthesis of Linear Test Pattern Generators with Strong Randomness Properties", IEEE International Test Synthesis Workshop, Apr J. Lee and N.A. Touba, "Low Power BIST Based on Scan Chain Partitioning", IEEE International Test Synthesis Workshop, Apr N.A. Touba, "Exploiting Asymmetric Soft Error Susceptibility for Cost-Effective Concurrent Error Detection in Logic Circuits", IEEE Workshop on System Effects of Logic Soft Errors, Apr N.A. Touba, "Test Vector Compression based on Limited Depth Sequential Expansion", IEEE BAST Workshop, Mar N.A. Touba, "Using Rectangular Coding to Combine Linear and Non-Linear Test Vector Compression", IEEE International Test Synthesis Workshop, Apr

13 41. Using Limited Dependence Sequential Expansion for Decompressing Test Vectors," IEEE North Atlantic Test Workshop, May "How to Compact Output Reponses with X's Using a MISR without Losing Fault Coverage via Symbolic Simulation", IEEE International Test Synthesis Workshop, Mar "A Low Cost Code-Based Methodology for Tolerating Multiple Bit Upsets in Memories," IEEE Workshop on System Effects of Logic Soft Errors, Apr "X-Canceling - A Way to Compact Output Responses with X's Using a MISR," IEEE North Atlantic Test Workshop, May "Expanding Observation Window for Trace Buffer via Selective Data Capture", IEEE International Test Synthesis Workshop, Apr "Using an X-Canceling MISR with Deterministic Observation for Increasing Output Compaction in the Presence of Unknowns", IEEE International Test Synthesis Workshop, Apr "Improving Memory Repair by Selective Row Partitioning" IEEE International Test Synthesis Workshop, Mar "Selecting Signals to Observe for Silicon Debug" IEEE International Test Synthesis Workshop, Mar "Efficient Linear Decompression Using ATE Vector Repeat-Per-All-Pins" IEEE International Test Synthesis Workshop, Mar "Improving Logic Obfuscation via Logic Cone Analysis", Proc. of North Atlantic Test Workshop, Patents: N.A. Touba and E.J. McCluskey, "Altering Bit Sequences to Contain Predetermined Patterns", U.S. Patent 6,061,818; Issue Date: May 9,

14 Vita: Nur Touba was born and raised in suburban Minneapolis. He did his undergraduate work at the University of Minnesota where he graduated Summa Cum Laude. He received a graduate fellowship at Stanford University. At Stanford, he worked with Prof. Edward McCluskey at the Center for Reliable Computing. He completed his Ph.D. in He received a National Science Foundation (NSF) CAREER Award in 1997, Best Paper Award at the VLSI Test Symposium in 2001, Best Panel Award at the International Test Conference in 2005, General Motors Faculty Fellowship in 2006, Best Paper Award at the Defect and Fault Tolerance Symposium in 2008, and IEEE Fellow in His research interests are in computer-aided design, VLSI testing, and fault-tolerant computing. He has developed a number of innovative techniques for automated design of testable and fault-tolerant circuits. In particular, his research has focused on developing new techniques for built-in self-test (BIST), test data compression, delay fault testing, concurrent error detection, and design-fortestability (DFT) in core-based designs. He is currently serving as Program Chair for the 2008 International Test Conference and 2008 International Test Synthesis Workshop. He has previously served as General Chair for the 2007 Defect and Fault Tolerance Symposium. He is on the program committee for the International Test Conference (ITC), International Conference on Computer Design (ICCD), Design Automation and Test in Europe Conference (DATE), International On-Line Test Symposium (IOLTS), European Test Symposium (ETS), Asian Test Symposium (ATS), Defect and Fault Tolerance Symposium (DFTS), International Test Synthesis Workshop (ITSW), Latin American Test Workshop (LATW), Microprocessor Test and Verification Workshop (MTV), International Workshop on Open Source Test Technology Tools (IOST3), ATE Vision 2020 Workshop, International Workshop on Impact of Low-Power Design on Test and Reliability, and International Conference on Advances in System Testing and Validation Lifecycle (VALID). He is on the editorial board of the Journal of Low Power Electronics (JOLPE) and the Journal of Electronic Testing: Theory and Applications. 14

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Jin-Hyun Kang Semiconductor Systems Department Sungkyunkwan University Suwon, Korea, 16419 kangjin13@skku.edu

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression 1 R.Kanagavalli, 2 Dr.O.Saraniya 1 PG Scholar, 2 Assistant Professor Department of Electronics and Communication Engineering,

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas at Austin {kjbala,touba}@ece.utexas.edu

More information

Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells

Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells Shalini Ghosh 1, Eric MacDonald 2, Sugato Basu 3, and Nur A Touba 1 1 Dept of Electrical & Computer Engg University of Texas at Austin Austin,

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

Bit-Fixing in Pseudorandom Sequences for Scan BIST

Bit-Fixing in Pseudorandom Sequences for Scan BIST IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 4, APRIL 2001 545 Bit-Fixing in Pseudorandom Sequences for Scan BIST Nur A. Touba, Member, IEEE, and Edward J.

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp.

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp. Published in IET Computers & Digital Techniques Received on 15th May 2007 Revised on 17th December 2007 Selected Papers from NORCHIP 06 ISSN 1751-8601 Architecture for integrated test data compression

More information

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Enhancing Superset X-Canceling Method With Relaxed Constraints on Fault Observation Joon-Sung

More information

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

Test Data Compression Using Variable Prefix Run Length (VPRL) Code

Test Data Compression Using Variable Prefix Run Length (VPRL) Code IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 91-95 e-issn: 2319 4200, p-issn No. : 2319 4197 Test Data Compression Using Variable Prefix Run Length

More information

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Madhavi Karkala Nur A. Touba Hans-Joachim Wunderlich Computer Engineering Research Center Computer Architecture Lab Dept. of Electrical

More information

Error Correction Using Extended Orthogonal Latin Square Codes

Error Correction Using Extended Orthogonal Latin Square Codes International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 9, Number 1 (2016), pp. 55-62 International Research Publication House http://www.irphouse.com Error Correction

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST Nur A. Touba* and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science Stanford University

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING Priyanka Kalode 1 and Mrs. Richa Khandelwal 2 1 Department of Electronics Engineering, Ramdeobaba college of Engg and Mgt, Nagpur

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor

Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor JOURNAL OF ELECTRONIC TESTING: Theory and Applications 18, 503 514, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip

More information

FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction

FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, and Tatsuo Ohtsuki Dept. of Computer Science Waseda University,

More information

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h) HAI ZHOU Electrical and Computer Engineering Northwestern University 2535 Happy Hollow Rd. Evanston, IL 60208-3118 Glenview, IL 60025 haizhou@ece.nwu.edu www.ece.nwu.edu/~haizhou (847) 491-4155 (o) (847)

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

ABSTRACT I. INTRODUCTION

ABSTRACT I. INTRODUCTION International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2017 IJSRCSEIT Volume 2 Issue 6 ISSN : 2456-3307 Orthogonal Latin Squares Encoders and Syndrome

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

1996 CRC ACTIVITIES. by S.V. Munda. CRC Technical Report No (CSL TN No ) January 1997

1996 CRC ACTIVITIES. by S.V. Munda. CRC Technical Report No (CSL TN No ) January 1997 1996 CRC ACTIVITIES by S.V. Munda CRC Technical Report No. 97-0 (CSL TN No. 97-408) January 1997 CENTER FOR RELIABLE COMPUTING Computer Systems Laboratory Depts. of Electrical Engineering and Computer

More information

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX s Abstract While previous research has focused on deterministic testing of bridging faults, this paper studies pseudo-random testing of bridging faults and describes a means for achieving high fault coverage

More information

Test Application Time and Volume Compression through Seed Overlapping

Test Application Time and Volume Compression through Seed Overlapping Test Application Time and Volume Compression through Seed verlapping ABSTRACT We propose in this paper an approach based on the Scan Chain Concealment technique to further reduce test time and volume.

More information

A Robust Bloom Filter

A Robust Bloom Filter A Robust Bloom Filter Yoon-Hwa Choi Department of Computer Engineering, Hongik University, Seoul, Korea. Orcid: 0000-0003-4585-2875 Abstract A Bloom filter is a space-efficient randomized data structure

More information

A Novel Test Data Compression Algorithm

A Novel Test Data Compression Algorithm International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn : 2278-800X, www.ijerd.com Volume 5, Issue 5 (December 2012), PP. 08-18 A Novel Test Data Compression Algorithm Ms.K.Hemalatha

More information

Power-Aware Testing and Test Strategies for Low Power Devices

Power-Aware Testing and Test Strategies for Low Power Devices Power-Aware Testing and Test Strategies for Low Power Devices Patrick Girard, Nicola Nicolici, Xiaoqing Wen To cite this version: Patrick Girard, Nicola Nicolici, Xiaoqing Wen. Power-Aware Testing and

More information

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Iswarya Gopal, Rajasekar.T, PG Scholar, Sri Shakthi Institute of Engineering and Technology, Coimbatore, Tamil Nadu, India Assistant

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

EMBEDDED DETERMINISTIC TEST FOR LOW COST MANUFACTURING TEST

EMBEDDED DETERMINISTIC TEST FOR LOW COST MANUFACTURING TEST EMBEDDED DETERMINISTIC TEST FOR LOW COST MANUFACTURING TEST Janusz Rajski, Jerzy Tyszer *, Mark Kassab, Nilanjan Mukherjee, Rob Thompson, Kun-Han Tsai, Andre Hertwig, Nagesh Tamarapalli, Grzegorz Mrugalski

More information

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

Test Data Compression Using Dictionaries with Fixed-Length Indices

Test Data Compression Using Dictionaries with Fixed-Length Indices Test Data Compression Using Dictionaries with Fixed-Length Indices Lei Li and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University, Durham, NC 27708 {ll, krish}@ee.duke.edu

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

A Technique for High Ratio LZW Compression

A Technique for High Ratio LZW Compression A Technique for High Ratio LZW Compression Michael J. Knieser Francis G. Wolff Chris A. Papachristou Daniel J. Weyer David R. McIntyre Indiana University Purdue University Indianapolis Case Western Reserve

More information

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Ch.Srujana M.Tech [EDT] srujanaxc@gmail.com SR Engineering College, Warangal. M.Sampath Reddy Assoc. Professor, Department

More information

Novel Implementation of Low Power Test Patterns for In Situ Test

Novel Implementation of Low Power Test Patterns for In Situ Test Novel Implementation of Low Power Test Patterns for In Situ Test K.Ramya 1, Y.N.S.Vamsi Mohan 2, S.V.S.M.Madhulika 3 1 M.Tech Student, Department of ECE,Bonam Venkata Chalamayya Institute of Technology

More information

Space Compaction of Test Responses Using Orthogonal Transmission Functions

Space Compaction of Test Responses Using Orthogonal Transmission Functions IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 5, OCTOBER 2003 1353 Space Compaction of Test Responses Using Orthogonal Transmission Functions Krishnendu Chakrabarty, Senior Member,

More information

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Rudrajit Datta and Nur A. Touba Computer Engineering Research Center The University

More information

Shin Hong. Assistant Professor Handong Global University (HGU) Pohang, Kyongbuk, South Korea (37554)

Shin Hong. Assistant Professor Handong Global University (HGU) Pohang, Kyongbuk, South Korea (37554) Shin Hong Assistant Professor hongshin@handong.edu +82-54-260-1409 School of Computer Science & Electrical Engineering 113 NMH, 558 Handong-ro, Buk-gu, Handong Global University (HGU) Pohang, Kyongbuk,

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM B.HARIKRISHNA 1, DR.S.RAVI 2 1 Sathyabama Univeristy, Chennai, India 2 Department of Electronics Engineering, Dr. M. G. R. Univeristy, Chennai,

More information

DUE TO innovations in the manufacturing technology of

DUE TO innovations in the manufacturing technology of 274 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Tri-State Coding Using Reconfiguration of Twisted Ring Counter for Test Data Compression

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Konstantinos Rokas & Yiorgos Makris Electrical Engineering Department Yale University {konstantinos.rokas, yiorgos.makris}@yale.edu

More information

Test Data Compression Using a Hybrid of Bitmask Dictionary and 2 n Pattern Runlength Coding Methods

Test Data Compression Using a Hybrid of Bitmask Dictionary and 2 n Pattern Runlength Coding Methods Test Data Compression Using a Hybrid of Bitmask Dictionary and 2 n Pattern Runlength Coding Methods C. Kalamani, K. Paramasivam Abstract In VLSI, testing plays an important role. Major problem in testing

More information

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX ENDREDDY PRAVEENA 1 M.T.ech Scholar ( VLSID), Universal College Of Engineering & Technology, Guntur, A.P M. VENKATA SREERAJ 2 Associate

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults Artur Jutman Tallinn University of Technology artur@pld.ttu.ee Abstract This article describes a novel approach to fault diagnosis suitable

More information

[ Circuit Under Test 1

[ Circuit Under Test 1 Applying Two-Pattern Tests Using Scan-Mapping Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, CA. 94305 Abstract This paper proposes a new technique, called

More information

System-on-Chip Test Data Compression Based on Split-Data Variable Length (SDV) Code

System-on-Chip Test Data Compression Based on Split-Data Variable Length (SDV) Code Circuits and Systems, 2016, 7, 1213-1223 Published Online June 2016 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2016.78105 System-on-Chip Test Data Based on Split-Data Variable

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

Gate Level Fault Diagnosis in Scan-Based BIST

Gate Level Fault Diagnosis in Scan-Based BIST Gate Level Fault Diagnosis in Scan-Based BIST Ismet Bayraktaroglu Computer Science & Engineering Department University of California, San Diego La Jolla, CA 92093 ibayrakt@csucsdedu Alex Orailoglu Computer

More information

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION ABSTRACT Harikishore.Kakarla 1, Madhavi Latha.M 2 and Habibulla Khan 3 1, 3 Department of ECE, KL University,

More information

Single error correction, double error detection and double adjacent error correction with no mis-correction code

Single error correction, double error detection and double adjacent error correction with no mis-correction code This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Single error correction, double error detection

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Outline of Presentation

Outline of Presentation Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula and Charles Stroud Electrical and Computer Engineering Auburn University presented at 2006 IEEE Southeastern Symp. On System

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Abstract---Bloom filters (BFs) provide a fast and efficient way to check whether a given element belongs to a set.

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy *GUDURU MALLIKARJUNA **Dr. P. V.N.REDDY * (ECE, GPCET, Kurnool. E-Mailid:mallikarjuna3806@gmail.com) ** (Professor,

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

A New Scan Chain Fault Simulation for Scan Chain Diagnosis

A New Scan Chain Fault Simulation for Scan Chain Diagnosis JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMBER, 2007 221 A New Scan Chain Fault Simulation for Scan Chain Diagnosis Sunghoon Chun, Taejin Kim, Eun Sei Park, and Sungho Kang Abstract

More information

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods International Journal of Scientific & Engineering Research, Volume 4, Issue 4, April-2013 664 A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods Debasmita Hazra Abstract- This

More information

Reducing Power Dissipation During Test Using Scan Chain Disable

Reducing Power Dissipation During Test Using Scan Chain Disable Reducing Power Dissipation During Test Using Scan Chain Disable Ranganathan Sankaralingam, Bahram Pouya2, and Nur A. Touba Computer Engineering Research Center Dept. of Electrical and Computer Engineering

More information

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION Deterministic BIST Amiri Amir Mohammad Ecole Polytechnique, Montreal, December 2004 ABSTRACT This paper studies some of the various techniques of DBIST. Normal BIST structures use a PRPG (LFSR) to randomly

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building,

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building, ,, Computer Studies Building, BOX 270231, Rochester, New York 14627 585.360.6181 (phone) kose@ece.rochester.edu http://www.ece.rochester.edu/ kose Research Interests and Vision Research interests: Design

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Anders Larsson, Erik Larsson, Krishnendu Chakrabarty *, Petru Eles, and Zebo Peng Embedded

More information

BISTed cores and Test Time Minimization in NOC-based Systems

BISTed cores and Test Time Minimization in NOC-based Systems BISTed cores and Test Time Minimization in NOC-based Systems Érika Cota 1 Luigi Carro 1,2 Flávio Wagner 1 Marcelo Lubaszewski 1,2 1 PPGC - Instituto de Informática 2 PPGEE - Depto. Engenharia Elétrica

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT K.Sandyarani 1 and P. Nirmal Kumar 2 1 Research Scholar, Department of ECE, Sathyabama

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

POLITECNICO DI TORINO Repository ISTITUZIONALE

POLITECNICO DI TORINO Repository ISTITUZIONALE POLITECNICO DI TORINO Repository ISTITUZIONALE A Hierachical Infrastrucutre for SOC Test Management Original A Hierachical Infrastrucutre for SOC Test Management / Benso A.; Di Carlo S.; Prinetto P.; Zorian

More information