lt1te1386~~ EX Embedded Processor IDDQ Testing

Size: px
Start display at page:

Download "lt1te1386~~ EX Embedded Processor IDDQ Testing"

Transcription

1 lt1te1386~~ EX Embedded Processor IDDQ Testing Hitesh Ahuja Dean Arriens Ben Schneller intel. corn darriensmedona. intel. corn ch. intel. corn Vandana Verma ch. intel. corn Wendy Whitman ch. intel. corn Intel Corporation, Semiconductor Products Group, 5 W. Chandler Blvd., Chandler, AZ Introduction IDDQ testing, along with stuck-at fault, AC timing, and DC testing is increasingly considered a necessity for good product quality. This paper presents an IDDQ vector selection methodology, along with vector implementation in the production test program. IDDQ fault seeding results show that by stuck-at fault modeling, we can detect single stuck-at nodes that were not detected before. A comparative evaluation of conventional testing methods testing is presented. Experimental test results are presented that ascertain the effectiveness of ID,,, particularly how it overlaps with stuck-at fault coverage. A practical method that estimates the test coverage overlap is applied to reduce the stuck-at improvement effort and obtain credit towards the 111te1386~~ EX processor quality requirements for production. A follow-on experiment for obtaining more data is proposed and data are being collected. What is ldda? IDDQ monitoring relies on the ability of CMOS circuits to draw low current from the power supplies in the quiescent state [l-61. Excessive current can be drawn by defective chips. IDDQ testing is particularly good at detecting defects due to gate oxide shorts and bridging defects. Many of these defects may not be detected with conventional voltage testing. IDDQ testing can also detect many of the defects caught or overlapped by conventional testing methods, which can be used to reduce the effort in the latter. A factor to consider is that parallel redundant devices introduced due to sizing requirements can lead to stuck-at untestables but not IDDQ untestables. I, testing requires that the circuit under test be fully static with no active DC paths while testing. The present IDDQ testing method stops the input clock to the chip and measures the current with the PMU. This is extremely expensive in test time limiting IDDQ testing to a few test points. For the Inte1386TM EX embedded processor, we have selected eight IDDQ test points providing an fault coverage of 71% and costing 18 ms in test time, ileak tool and tool set I, testing can be based on various fault models such as the pseudo stuck-at fault model, bridging fault model, leakage model, etc. [4-51. Internal tools based on the leakage model were available and recommended, so we proceeded with the tool set and models. LEAK is an internal CAD tool that selected eight vectors for IDDa testing out of a functional test suite of 2.2 million vectors. The tool is an IDD, fault evaluator based on the leakage fault model. The leakage fault model consists of six leakage faults per transistor as depicted in Fig. 1. The faults are gate-source (GS), source-drain (DS), source-bulk (SB), gate-bulk (GB), gate-drain (GD) and drain-bulk (DB). SB I GATE I BULK Figure 1. Transistor Leakage Faults DB 92 INTERNATIONAL TEST CONFERENCE $ IEEE

2 First, exhaustive simulations are performed at the switch level for all standard cells in the model. These simulations create leakage fault tables (LFT) which map Boolean input/output values of standard cells to leakages detected for that input/output combination inside the standard cell. The LFT s facilitate simulation at gate level inside ileak. The exact leakages detected inside the standard cells for a particular input/output value are determined from the LFT s. A flowchart depicting the entire IDDQ tool set flow is shown in Fig. 2. istag, FLED and ileak are the major tools in the IDDQ tool set. LOGIC I SIMULATION I I FAULTS w Figure 2. IDDQ tool set flow After these tables have been created, logic simulations are run on the model using functional vectors. Logic simulations were run on the Zycad hardware accelerator. The values at the inputs/outputs of all standard cells are stored in output record files. LEAK post processes these stored values and determines the leakage coverage at the end of a pre-determined clock cycle. Various selection modes were implemented in ileak to choose IDDQ test points based on the number of leakage faults detected. The useful modes are: Minimum Mode: In this mode, a vector is selected for IDDQ testing if it detects one new leakage fault. This mode can be used to determine the maximum IDDQ fault coverage for a test suite and is useful in down sizing the test suite. Percent Mode: A vector must detect at least n percent of the remaining faults to be selected by ileak. This is a useful mode for final vector selection. Pareto Mode : This mode provides an optimal vector set. All vectors are graded first and the vector providing the highest coverage is selected for IDDQ testing. Ths process is repeated until the desired coverage is obtained. The disadvantage of this mode is that it can take 5x the time as percent mode. Test Suite Reduction Significant hardware resource is required to run ileak on all functional tests in a large test suite at the full chip level. The output record files of the functional tests are also very large and time consuming to generate. Disk space and time constraints did not permit us to generate all output record files at the full chip level for the entire functional test suite. A novel hybrid methodology was used to reduce the Intel386 EX embedded processor functional test suite from 88 test patterns to 1 test patterns. The hybrid methodology consisted of two approaches: (1) fustileuk to reduce the peripheral test suite, and (2) Use of toggle information to reduce the core test suite. The test suite was first divided into peripheral, interperipheral and core tests. ileak was run in minimum mode for the peripheral and the inter-peripheral tests, seeding faults inside the modules. This methodology is called fustileuk. Test patterns which provided the highest IDDQ fault coverage were selected. This reduced the peripheral and inter-peripheral test suite from 3 functional tests to 6 tests for ID^, purposes. For the core tests, test patterns with high toggle coverage were chosen for ileak simulations. Logicsim with the ---togout option was run on each diag in the core test suite giving us an additional four diags. Experiments indicate that fustileuk is superior to using toggle information in test suite reduction. The above hybrid test suite reduction method prefers fastileak over toggle whenever possible. We used toggle information because we did not have enough disk space to save the large output record files for the core. Vector Selection We started with pareto mode to select IDDQ vectors. This was impractical with regards to time. ileak runs indicated that percent mode could provide coverage close to pareto mode while significantly reducing CPU time requirements. Variable percentages, selected on the basis of knowing the vector coverage from previous runs, were used in the percent mode to get an optimal IDDQ coverage. An example of the percentages that can 93

3 be used are shown in Table 1. The percentages used on the Intel386 EX chip correspond to an older revision of LEAK and hence are different form the ones shown below. We observed that the increase in coverage per vector was very small after we reached 71%. T Separate experiments indicated that a significant improvement in speed can be obtained by forcing LEAK to evaluate IDDQ coverage on every 5th vector instead of every vector, maintaining approximately the same coverage. Tal B 2. Resul Cycle factor IDDQ coverage time (secs) Table 2, row 2 shows reduction in CPU time by a factor of 5 without any impact, in this case, on the IDDQ fault coverage. The first row in the table indicates the CPU requirements if ileak selects on every vector in the diag. The second, third and fourth rows describe the ileak coverage and CPU runtime if LEAK selects on every 5th, 1 lth and 19th cycle. If a cycle factor of 5 is used the CPU requirement is reduced by 5. Adjacent vectors detecting similar faulte might account for the minimal impact on the IDDQ coverage. This method was not used on the Intel386 EX chip since assumptions had to be made and complete experimentation has not yet been performed. Tester Implementation Implementing the selected vectors was not a trivial task. The IDDO test should exercise the device to a known state, or until the selected IDDQ vector. At this point, the pattern must allow enough time to take a current measurement on the power supply. For this reason, we added three vectors that we looped on where the clocks were stopped, which was first hand modification to the pattern. The initial measurements showed current in the 1 s of ma range which was a problem. The device had some DC paths from pull up and pull down resistors on 44 pins. Some of these pins were in a logic state that activated the pullups/pulldowns and the fix was to temporarily drive the pin to the inactive state. Another problem was floating pins. Since the design used U pads for all pins, including pure output pins, a floating output left the IC input pin at VDd2 causing high currents. In this situation, the pins were driven to a known valid state. Also, with a high percentage of muxed pins with bi-directional pin functions, IO contention problems were verified not to exist. Once the pin configuration was corrected, the IDDQ current measurements were still in the ma range. Empty socket measurement showed the problem to be the capacitors on the loadboard. Table 3 shows the capacitor values initially used on the loadboard. The 1 uf capacitor was leaky and had to be replaced. Table 3. Loadboard Capacitance Capacitance(#) number However the decoupling capacitors did affect the IDDQ measurements. Table 4 gives the measurements with the additional capacitors. Tantalum capacitors proved more reliable than electrol$c capacitors. Also found was a direct relationship between the current level and the temperature. The current measurement at room temperature on the majority of the selected vectors was around 1-2 ua. This increased to 4-6 ua at hot temperature. The current limit was arbitrarily set to 9 ua. The last vector could be measured to a 6 ua limit averaging 3-4 ua. The cause of this high current limit was just recently found to be an active pull down on a single pin driving out a logic 1. Present I, limits are set to 2 ua now that typical IDDg current values are stabilized at 6-7 ua. 94

4 One solution to these problems is to separate the power supplied to the pads from the power supplied to the internal logic. The pull-up/pulldowns, and inputloutput contention problems can then be segregated. The tester hardware, however, did not have the design to support this solution, so the results of this method were not verified. The next revision of the hardware will take advantage of isolated power supplies in high volume manufacturing. Table 4 shows the increase in IDDq current with increased capacitance. We did not see a significant difference when we provided delays. I Table 4. Current Vs Capacitance I for seven vectors (a) Capacitance(uF) I Average IDDQ Current 1 I IDDQ min. % BF min% ~ 1 BF max% 5.5v,4.5v p-@ * ontinue Figure 3. Evaluation test program flow IDDQ Evaluation and Results An evaluation was performed using the selected IDDQ patterns and the Intel386 EX chip as the test vehicle to obtain information regarding IDDQ and defect detection. The evaluation was designed to determine: 1) to what degree IDDQ enhances fault coverage. 2) to what extent the IDDQ coverage overlaps the stuck-at coverage, and, 3) the most effective IDDQ vectors out of the seven generated from the methods described above. A statistically determined sample size of about 29, wafer level die were tested to groups of patterns or vectors that supply a minimum or maximum percent IDDQ and stuck-at coverage. Using wafer maps and test counters, we recorded whether a die fails IDDQ vectors representing minimum IDDQ coverage, maximum IDDQ coverage, both or none. The same information is recorded for minimum and maximum stuck-at coverage. See Fig. 3 for the evaluation test program flow. Stuck-at fault tests are designated as BF 5.5 and 4.5~. They are run at speed with loose timings. Fault grading and a project to increase fault coverage by writing test patterns was occurring at the time of the evaluation. All available stuck-at fault patterns that could be released to production were used in this evaluation. The stuck-at fault coverage for the full chip was 84.9% and used as the high percent or maximum coverage. Low percent or minimum coverage is 76.6%. Less than 5% of the nodes and input faults were excluded and coverage for minimum and maximum percent is unevenly distributed. Tests making up the minimum percent coverage are a subset of the maximum percent coverage. For this evaluation minimum percent IDDQ coverage is 27.9%, and maximum percent IDDQ coverage is 7.4%. IDDQ low coverage is a subset of high coverage. Results of the evaluation show that IDDQ does in fact enhance test quality. Of the approximately 29, units tested, about 1% fail for IDDQ. This is equivalent to 1, DPM (Defects Per Million), a significant number. However, keep in mind that data were taken at sort before any bum-in is done, and therefore, includes units that may fail &er bum-in testing. 95

5 There is a si@cant overlap of failures detected by stuck-at fault tests and ID, (Fig. 4 and 5). Figure 6 shows the overlap of IDw and other types of testing. In the figures. the chart category labeled All other failures. represent die failing any other test in the test flow such as opens or shorts. Comparison of lddq vs other Fai I u res IPD lddq 2% 1% Stuckqt 29% All Failures lddq Only 7 1, Stuckat 29% IDDQ and AC tests DC tests % 1% Stuck-at 11% All other failures 58% Figure 4. Distnbution of failures Stuck-at Failures IDDQ Stuck-at 5% ck-at 11% Stuck-at 68% Figure 5. Distribution of stuck-at fault and IDw failures Figure 6. Distribution of IDw and other types of testing In Fig. 6, IPD (powerdown current), is a production test impiemented to meet data sheet specifications of 1 ua. It is performed the same way an ID, test is performed. Power down is a test mode where the device is shut down and the clock diverted. Typical room temperature measurements are 6 to 7 ua. It is also implemented later in the test flow, and therefore, could not be included with the other IDDQ failures. Additionally, the data show that 4 82% of devices that fail both an IDDQ test and a stuckat fault test, fail both minimum and maximum percent coverage for stuck-at fault and ID, testing. 4 9% of the IDDQ failures were detected by vectors 1 or 2. Vectors 1 and 2 represent 42.5% ID^ coverage. Vector number 1 is included in a direct access test mode vector that tests the core of the Intel386 processor. Vector number 2 is part of a JTAG test. At least 58% of the tdm failures were caught by more than one vector. The minimum versus maximum portion of the evaluation did not clearly give the information we were seeking. It is obyious that the additional stuck-at coverage from 76.6% to 84.9% coverage is required to reduce outgoing DPM. The effectiveness of 1.4% IDm coverage over 27.9% IDDQ coverage so far appears to be minimal. However, certain tests seem to be SigrUScantly more effective at catching failures than others. *A small percentage of IDw failures overlap with ac timings tests or the DC tests ICC, IjD, uts, or leakage. 96

6 Comparison of I, coverage coverage and Stuck-at IDDQ testing offers great potential for reducing the effort required to fault grade stuck-at vectors. Without IDDQ testing, the chip fault grading effort may have required many more man years of effort to achieve comparable test coverage. Instead, IDDQ testing provided the additional coverage required for high product quality. If the relationship between them can be quantified early, IDDQ and stuck-at testing can be used to compliment each other in the fault grading process. Thereby, test methods can be targeted at specific areas or faults. In this way, IDDQ and stuck-at can be used for test coverage and reduce fault grading effort. Fault seeding offers great potential in striking a comparison between IDDQ and fault grading. An experiment was performed where we held a node at a certain state and later released it in the stim file. We did this for four separate random nodes in four different ileak runs. This had the effect of modeling a single stuck-at fault. LEAK was run with and without the above seeding. The results of comparing the stuck-at fault versus seeded LEAK, for the nodes that we seeded, was that LEAK detected the modeled fault where in some cases the fault was not caught in fault grading. In addition, the seeded fault toggled other nodes, increasing the coverage. This implies that using ileak fault seeding may be as good as single stuck-at fault grading. The effort to put the tools in place and complete the fault seeding comparison was significant and would not meet the project goals and schedules, so the following comparison method was developed. We developed a method to identlfy coverage distribution by particular test methods. Thus numerically identified the overlap and unique areas covered by these IDDQ and stuck-at testing during the fault grading process. The method was initially used for comparison on the Intel386 EX chip and was based upon two ideas: If 1% of leakage faults on a gate were detected, input stuck-at faults for the gate were detected. The IDDQ vector selection and grading tool provided the percentage of leakage faults per gate detected by each selected strobe point -- not comprehensive across strobe points. Using this comparison methodology, the test coverage on the Intel386 EX chip was effectively increased by 2-3%. Additional analysis performed on several blocks showed from 2% to 7% coverage overlap between IDDQ and stuck-at testing. This 2% to 7% coverage overlap is promising because it indicates substantial IDDQ and stuck-at fault overlap. Simply, a large amount of overlap gives a large target for either test method. This correlates well with the 68% overlap observed in the post-silicon results. Quantitative Fault Comparison After the initial fault comparison effort, the need for a quantitative method to compare IDDQ and stuck-at fault coverage was identifed. A better comparison method was justified by The Intel386 EX chip method was conservative and limited in the scope of the analysis performed The data indicate a large amount of fault overlap that was not utilized -- there was significant potential. Tool limitations prevented IDDQ fault information that was comprehensive across all selected strobe points. The Intel386 EX chip effort also indicated several appropriate goals for future comparison methodology The method must correspond well to observed failure data. Cumulative (across IDDQ strobe points) information should be used. The method should identlfy both overlapping and unique areas. Weighing these factors and other lessons from the initial fault grading effort reduction, a quantitative method for comparing stuck-at faults was devised. This method identifies a unique set of leakage faults needed to consider a stuck-at fault detected or well covered by IDDQ testing. This set of leakage faults is termed the needed set of leakages -- the leakages are needed to consider the corresponding stuck-at fault well covered by IDDQ testing. Using the needed leakage sets, it is possible to mark each stuck-at fault as detected or undetected by IDDQ. Once the IDDQ detection status of stuck-at faults is marked, the overlap between testing methods can be analyzed. This analysis will indicate those areas poorly covered by one test method, but well covered by the 97

7 other test method. In this way, the fault grading effort does not need to concentrate on areas (or even particular faults) covered by either test method. This can greatly reduce the number of difficult, impossible, and possible stuck-at faults that need to be researched and detected In order to identlfy all the needed leakage sets, a manner of associating leakage faults with stuck-at faults is required. A method, termed the path method (Fig. 7), was devised from the Intel386 EX chip experience. Any leakage fault along the path that information flows from gate input to gate output is said to be on the path of the corresponding gate input stuck-at fault. The leakage faults must have one terminal (end) connected to the path to be in the set of needed faults. The circuit shown below illustrates the needed faults for the path association method: 2 Input AND Gate stuck-at faults occurs). i.e. the scope of the analysis is limited. The path method limits fault comparison to gate input stuck-at faults. Results of a Quantitative Comparison The quantitative fault comparison methodology was implemented on another design, the Intel96JX. The stuck-at fault coverage was 92.5% without redundant faults included in the calculation. The stuck-at fault coverage was 57.3% with redundant faults included in the calculation. The transistor leakage fault coverage was 6.9% using seven strobe points. Fig. 8 details the fault coverage predicted by the comparison methodology described in this paper. Post-silicon failure distribution, (Fig. 9) shows good correlation to the prediction. An addtional3% of leakage fault coverage was used during testing but not used during the fault comparison analysis. This added IDDQ coverage may contribute to the slight difference between Figures 8 and 9. Path Method Coverage IDDQ 1%.-.- Stuckat 22% Path method of associating faults: all leakage faults with one terminal connected to this line must be detected for a stuck-at fault to be marked as detected. Figure 7. Path Method of Associating Stuck-at Faults Several points to note about the path method are The path method attempts to translate transistor level faults into logic level faults. i.e. the method requires detection of those leakage faults that can affect circuit functionality related to the stuck-at fault. The path method analyzes the needed leakage faults from a single gate (the gate on which the Stuckat 68% Figure 8. Path Method Coverage for lnte196jx Failure Distribution IDDQ 8% Stuckat 32% 6% Figure 9. Post-Silicon Failure Distribution for Inte196JX 98

8 Summary This paper describes the tool flow used by Intel386 EX chip to select IDDQ strobe points and implement them on the testers. The paper also demonstrates enhanced techniques for vector selection. The methodology for porting the vectors to the test floor was described. Design considerations such as separating the power supplied to the pads from the power supplied to the internal logic, testing hardware, test planning and testing methodologies should be pursued to make this a plug in type test. The advent of new technology will still have implementation problems if some of the above situations are not accounted for during the device and test hardware designs. Substantial speed-up in vector selection was obtained by using the flow described in this paper. Data collected by the evaluation and comparing the IDDQ coverage to stuck-at coverage allowed us to meet fault grading requirements for production. The ileak tool is being enhanced to automatically compare the detected leakage faults with categories of stuck-at faults. Acknowledgments The authors would like to acknowledge from Intel : Joe Hernandez, Terry Gillett, Wayne Needham, Tony Miller, Jim Missimer, Jim Williams, Glidden Martin. Steve Eastman of Sematech in Austin, Texas. References [l] Bakhle, A., Campbell, D., Cox, S., Emura, Y., Martin, G. IDDQ Test Technique, Intel Product Development Technology Summit, June, Available from the authors or Intel Library Information Services. [Z] Nucci, J. IDDQ, in Intel Test and Logic R & D report, Available from the authors or Intel Library Information Services. [3] Emura, Y. P9S IDDQ Testing, in Intel Technical Report, November, Available from the authors or Intel Library Information Services. [4] Mao, W., Gulati, R.K., Goel, D.K., Ciletti, M.D. QUIETEST: A Quiescent Current Testing Methodology for Detecting Leakage Faults, Proc. Int. conf. Comp.-Aided Design, pp , 199. [5] Maxwell, P.C., Aitken, R.C., Johansen, V., Chiang, I., The Effect of Different Test Sets on Quality Level Prediction: When is 8% Better Than 9%?, Proc. Int. Test Conf., pp , [6] Hawkins, C.F., Soden, J.M., Righter, A.W., Ferguson, F.J., Defect Classes - An Overdue Paradigm for CMOS IC Testing, Proc. Int. Test Conf., pp ,

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study Ravi Arora Co-Founder & CTO, Graphene Semiconductors India Pvt Ltd, India ABSTRACT: As the world is

More information

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX s Abstract While previous research has focused on deterministic testing of bridging faults, this paper studies pseudo-random testing of bridging faults and describes a means for achieving high fault coverage

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

CROWNE: Current Ratio Outliers With Neighbor Estimator

CROWNE: Current Ratio Outliers With Neighbor Estimator OWNE: Current Ratio Outliers With Neighbor Estimator Sagar S. Sabade D. M. H. Walker Department of Computer Science Texas A&M University College Station, TX 77843-32 Tel: (979) 862-4387 Fax: (979) 847-8578

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Semiconductor IC Test and Design-for-Test Fundamentals

Semiconductor IC Test and Design-for-Test Fundamentals Semiconductor IC Test and Design-for-Test Fundamentals By Al Crouch, Chief Scientist, Inovys Corporation The Semiconductor Industry seeks to reduce the cost of manufacturing its product by continuously

More information

Curve Tracing Systems

Curve Tracing Systems Curve Tracing Systems Models Available MultiTrace: The most flexible solution for devices up to 625 pins, capable of any of the applications described here. Comes with a PGA-625 fixture MegaTrace: A larger

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Lab #2: Building the System

Lab #2: Building the System Lab #: Building the System Goal: In this second lab exercise, you will design and build a minimal microprocessor system, consisting of the processor, an EPROM chip for the program, necessary logic chips

More information

Latent Damage and Reliability in Semiconductor Devices

Latent Damage and Reliability in Semiconductor Devices May1625 Latent Damage and Reliability in Semiconductor Devices DESIGN DOCUMENT SEAN SANTELLA, HAYLE OLSON, DAVID ACKERMAN, JAEHYUK HAN Advisor & Client: Dr. Randall Geiger, ECpE Thursday, December 03,

More information

Impact of JTAG/ Testability on Reliability

Impact of JTAG/ Testability on Reliability Impact of JTAG/1149.1 Testability on Reliability SCTA041A January 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

(12) Patent Application Publication (10) Pub. No.: US 2003/ A1

(12) Patent Application Publication (10) Pub. No.: US 2003/ A1 (19) United States US 2003.01.10403A1 (12) Patent Application Publication (10) Pub. No.: US 2003/0110403 A1 Crutchfield et al. (43) Pub. Date: Jun. 12, 2003 (54) SYSTEM FOR SHARED POWER SUPPLY IN COMPUTER

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

TTP226. Preliminary 8 KEYS TOUCH PAD DETECTOR IC GENERAL DESCRIPTION

TTP226. Preliminary 8 KEYS TOUCH PAD DETECTOR IC GENERAL DESCRIPTION 8 KEYS TOUCH PAD DETECTOR IC GENERAL DESCRIPTION The TTP222 is a touch pad detector IC which offers 8 touch keys. The touching detection IC is designed for replacing traditional direct button key with

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

Fault Grading FPGA Interconnect Test Configurations

Fault Grading FPGA Interconnect Test Configurations * Fault Grading FPGA Interconnect Test Configurations Mehdi Baradaran Tahoori Subhasish Mitra* Shahin Toutounchi Edward J. McCluskey Center for Reliable Computing Stanford University http://crc.stanford.edu

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

AIC A Dual USB High-Side Power Switch FEATURES DESCRIPTION APPLICATIONS TYPICAL APPLICATION CIRCUIT

AIC A Dual USB High-Side Power Switch FEATURES DESCRIPTION APPLICATIONS TYPICAL APPLICATION CIRCUIT 1.0A Dual USB High-Side Power Switch FEATURES 2.7V to 6.5V Input Voltage Range 1.0A Dual Continuous Load Current 100mΩ High-Side P-MOSFET Switch 20Ω Open-Drain Over-Current Flag Output 80uA Quiescent Supply

More information

Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method

Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method Prof. R.H. Khade 1 and Mr. Swapnil Gourkar 2 1 Associate Professor, Department of Electronics Engineering, Pillai Institute of

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

4. Hot Socketing and Power-On Reset in MAX V Devices

4. Hot Socketing and Power-On Reset in MAX V Devices December 2010 MV51004-1.0 4. Hot Socketing and Power-On Reset in MAX V Devices MV51004-1.0 This chapter provides information about hot-socketing specifications, power-on reset (POR) requirements, and their

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

NEIGHBOR SELECTION FOR VARIANCE REDUCTION IN I DDQ and OTHER PARAMETRIC DAT A

NEIGHBOR SELECTION FOR VARIANCE REDUCTION IN I DDQ and OTHER PARAMETRIC DAT A NEIGHBOR SELECTION FOR VARIANCE REDUCTION IN I DDQ and OTHER PARAMETRIC DAT A W. Robert Daasch, Kevin Cota and James McNames IC Design and Test Laboratory Electrical and Computer Engineering, Portland

More information

DS21S07AE. SCSI Terminator

DS21S07AE. SCSI Terminator DS21S07A SCSI Terminator www.maxim-ic.com GENERAL DESCRIPTION Fast SCSI and Ultra SCSI require the use of active terminations at both ends of every cable segment in a SCSI system with single-ended drivers

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

2 AA Cell to 3.3V USB On-The-Go Devices White LED Drivers Handheld Devices. The HM3200B is available in the 6-pin SOT23-6.

2 AA Cell to 3.3V USB On-The-Go Devices White LED Drivers Handheld Devices. The HM3200B is available in the 6-pin SOT23-6. Low Noise, Regulated Charge Pump DC/DC Converter Features Fixed 3.3V ± 4% Output VIN Range: 1.8V to 5V Output Current: 100mA Constant Frequency Operation at All Loads Low Noise Constant Frequency (1.2MHz)

More information

Advanced Computer Architecture (CS620)

Advanced Computer Architecture (CS620) Advanced Computer Architecture (CS620) Background: Good understanding of computer organization (eg.cs220), basic computer architecture (eg.cs221) and knowledge of probability, statistics and modeling (eg.cs433).

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

(Refer Slide Time: 1:43)

(Refer Slide Time: 1:43) (Refer Slide Time: 1:43) Digital Circuits and Systems Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 27 Pattern Detector So, we talked about Moore

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Logic Chip Tester User Manual SW Version /8/2012. Chapter 1 Introduction/Background

Logic Chip Tester User Manual SW Version /8/2012. Chapter 1 Introduction/Background Logic Chip Tester User Manual SW Version 1.00 4/8/2012 Chapter 1 Introduction/Background In the 1970 s and 80 s, many digital devices were designed using a number of 14, 16, 20, or perhaps 24- pin logic

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM)

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM) 1 3 Introduction to Memories The most basic classification of a memory device is whether it is Volatile or Non-Volatile (NVM s). These terms refer to whether or not a memory device loses its contents when

More information

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence ELECTRONICS MANUFACTURE-The In-Circuit Test sequence In-Circuit Test comprises several sections, each consisting of a series of tests on individual devices. By testing devices individually, failures can

More information

Preliminary TK23H256 High Temperature ROM

Preliminary TK23H256 High Temperature ROM Preliminary TK23H256 High Temperature ROM June 06, 2018 Product Proposal Features o 262,144 bit ROM o Up to 300 o C Operation o -100 ns Access Time (5V) o Fully Non-Volatile o 2.7V to 5.5V operation o

More information

TTP224-ASD 4 KEY TOUCH PAD DETECTOR IC

TTP224-ASD 4 KEY TOUCH PAD DETECTOR IC 4 KEY TOUCH PAD DETECTOR IC GENERAL DESCRIPTION The SP224-ASD is capacitive sensing design specifically for touch pad controls. Stable sensing method can cover diversity conditions. Human interfaces control

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

NTE1731 Integrated Circuit CMOS 10 Number Pulse Dialer

NTE1731 Integrated Circuit CMOS 10 Number Pulse Dialer NTE1731 Integrated Circuit CMOS 10 Number Pulse Dialer Description: The NTE1731 is a CMOS LSI repertory dialer with ten 16 digit number memory storage in a 16 Lead DIP type package. The pulse and mute

More information

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece.

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece. ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES Edited by DIMITRIS GIZOPOULOS University of Piraeus, Greece 4y Springer Foreword xiii by Vishwani D. Agrawal Preface xvii by Dimitris Gizopoulos

More information

Project Final Report Internet Ready Refrigerator Inventory Control System

Project Final Report Internet Ready Refrigerator Inventory Control System Project Final Report April 25, 2006 Dustin Graves, dgraves@gwu.edu Project Abstract Appliance vendors have started producing internet enabled refrigerators which allow users to keep track of refrigerator

More information

Description INPUT INTERFACING

Description INPUT INTERFACING SEMICONDUCTOR ICM711, ICM71 December 1993 Features ICM711 (LCD) Description -Digit ICM711 (LCD) and ICM71 (LED) Display Drivers Four Digit Non-Multiplexed 7 Segment LCD Display Outputs With Backplane Driver

More information

Preliminary TTP224N-FO8 TonTouch TM 1 KEY TOUCH PAD DETECTOR IC GENERAL DESCRIPTION The TTP224N-FO8 TonTouch TM IC is capacitive sensing design specif

Preliminary TTP224N-FO8 TonTouch TM 1 KEY TOUCH PAD DETECTOR IC GENERAL DESCRIPTION The TTP224N-FO8 TonTouch TM IC is capacitive sensing design specif 1 KEY TOUCH PAD DETECTOR IC GENERAL DESCRIPTION The IC is capacitive sensing design specifically for touch pad controls. The device built in regulator for touch sensor. Stable sensing method can cover

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS MAX358xxE Rev. A RELIABILITY REPORT FOR MAX358xxE PLASTIC ENCAPSULATED DEVICES June 5, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord Quality

More information

Programming National UV EPROMs

Programming National UV EPROMs National UV EPROMs INTRODUCTION National Semiconductor is a broad-based supplier of low power CMOS EPROMs CMOS EPROMs are programmed the same way NMOS EPROMs are programmed CMOS and NMOS EPROMs are pin

More information

Power Consumption in 65 nm FPGAs

Power Consumption in 65 nm FPGAs White Paper: Virtex-5 FPGAs R WP246 (v1.2) February 1, 2007 Power Consumption in 65 nm FPGAs By: Derek Curd With the introduction of the Virtex -5 family, Xilinx is once again leading the charge to deliver

More information

Advanced BiCMOS features

Advanced BiCMOS features Advanced BiCMOS Features With the advent of the newer BiCMOS and 3 volt technologies, product feature sets have been enhanced from the standard features found in previous logic families. With the newer

More information

69F Megabit (16M x 8-Bit) Flash Memory Module FEATURES: DESCRIPTION: Logic Diagram (1 of 4 Die)

69F Megabit (16M x 8-Bit) Flash Memory Module FEATURES: DESCRIPTION: Logic Diagram (1 of 4 Die) 69F1608 128 Megabit (16M x 8-Bit) Flash Memory Module FEATURES: Single 5.0 V supply Organization: - Memory cell array: (4M + 128k) bit x 8bit - Data register: (512 + 16) bit x 8bit - Contains 4 (32 Megabit)

More information

Low cost, 3 Step Dimming Control, Linear AC LED Driver. Features. Applications. Fig. 1 Typical application with 3 Step dimming

Low cost, 3 Step Dimming Control, Linear AC LED Driver. Features. Applications. Fig. 1 Typical application with 3 Step dimming Low cost, 3 Step Dimming Control, Linear AC LED Driver General Description The is a non-isolated linear LED driver for general purpose LED lighting applications. It is capable of driving LEDs in multiple

More information

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Justin Hernandez SA837/CORP/GSG ZAS37/justin.hernandez@motorola.com Philip Giangarra RU433/SPS/NCSG

More information

Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1

Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1 RATS (Reliability and Testability Seminar) Diagnosis of Defects Introducing Voltage Dependences between Nodes By François-Fabien Ferhani 5/27/2003 Ferhani, RATS/SPRING03 Outline Introduction Problems &

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

Mark Sandstrom ThroughPuter, Inc.

Mark Sandstrom ThroughPuter, Inc. Hardware Implemented Scheduler, Placer, Inter-Task Communications and IO System Functions for Many Processors Dynamically Shared among Multiple Applications Mark Sandstrom ThroughPuter, Inc mark@throughputercom

More information

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices for LatticeECP/EC and LatticeXP Devices September 2012 Introduction Technical Note TN1052 One of the requirements when using FPGA devices is the ability to calculate power dissipation for a particular

More information

DS1217M Nonvolatile Read/Write Cartridge

DS1217M Nonvolatile Read/Write Cartridge DS1217M Nonvolatile Read/Write Cartridge www.maxim-ic.com GENERAL DESCRIPTION The DS1217M is a nonvolatile RAM designed for portable applications requiring a rugged and durable package. The nonvolatile

More information

MIC2544A/2548A. General Description. Features. Applications. Typical Application. Programmable Current Limit High-Side Switch

MIC2544A/2548A. General Description. Features. Applications. Typical Application. Programmable Current Limit High-Side Switch Programmable Current Limit High-Side Switch General Description The MIC2544A and MIC2548A are integrated, high-side power switches optimized for low loss DC power switching and other power management applications,

More information

CMPE 415 Programmable Logic Devices FPGA Technology I

CMPE 415 Programmable Logic Devices FPGA Technology I Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices FPGA Technology I Prof. Ryan Robucci Some slides (blue-frame) developed by Jim Plusquellic Some images credited

More information

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC DDR SDRAM UDIMM MT16VDDF6464A 512MB 1 MT16VDDF12864A 1GB 1 For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

PEEL 20V8-15/-25 CMOS Programmable Electrically Erasable Logic Device

PEEL 20V8-15/-25 CMOS Programmable Electrically Erasable Logic Device Preliminary Commercial -15/-25 CMOS Programmable Electrically Erasable Logic Device Compatible with Popular 20V8 Devices 20V8 socket and function compatible Programs with standard 20V8 JEDEC file 24-pin

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

4. Hot Socketing & Power-On Reset

4. Hot Socketing & Power-On Reset 4. Hot Socketing & Power-On Reset CII51004-3.1 Introduction Cyclone II devices offer hot socketing (also known as hot plug-in, hot insertion, or hot swap) and power sequencing support without the use of

More information

Power Estimation and Management for LatticeXP2 Devices

Power Estimation and Management for LatticeXP2 Devices February 2007 Introduction Technical Note TN1139 One requirement for design engineers using programmable devices is the ability to calculate the power dissipation for a particular device used on a board.

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

4 keys Touch Pad Detector IC

4 keys Touch Pad Detector IC 4 keys Touch Pad Detector IC Outline The TonTouch TM IC is capacitive sensing design specifically for touch pad controls. The device built in regulator for touch sensor. Stable sensing method can cover

More information

2 keys Touch Pad Detector IC

2 keys Touch Pad Detector IC 2 keys Touch Pad Detector IC Outline The TonTouch TM IC is capacitive sensing design specifically for touch pad controls. The device built in regulator for touch sensor. Stable sensing method can cover

More information

Power Measurement Using Performance Counters

Power Measurement Using Performance Counters Power Measurement Using Performance Counters October 2016 1 Introduction CPU s are based on complementary metal oxide semiconductor technology (CMOS). CMOS technology theoretically only dissipates power

More information

BG2D Solderless Connection Gate Drive Prototype Board

BG2D Solderless Connection Gate Drive Prototype Board Application NOTES: First Release: May, 2008 BG2D Solderless Connection Gate Drive Prototype Board Description: The BG2D is a two channel gate drive circuit for that the dual NX series modules pins plug

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

EECS 473 Midterm Exam

EECS 473 Midterm Exam EECS 473 Midterm Exam Fall 2016 Name: KEY unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. Closed book and Closed notes

More information

5. Using MAX V Devices in Multi-Voltage Systems

5. Using MAX V Devices in Multi-Voltage Systems June 2017 MV51005-2017.06.16 5. Using MAX V Devices in Multi-Voltage Systems MV51005-2017.06.16 This chapter describes how to implement Altera devices in multi-voltage systems without damaging the device

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

Random Access Memory (RAM)

Random Access Memory (RAM) Random Access Memory (RAM) best known form of computer memory. "random access" because you can access any memory cell directly if you know the row and column that intersect at that cell. 72 Magnetic-core

More information

MxC 200 Data Sheet. MxC V DC 15W DC-DC Converter

MxC 200 Data Sheet. MxC V DC 15W DC-DC Converter Efficiency (%) MxC 200 Data Sheet MxC 200 48V DC 15W DC-DC Converter The Helix Semiconductors MuxCapacitor ( MxC ) 200 is a monolithic configurable high voltage switch capacitor DC-DC converter targeted

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

VHDL Fault Simulation for Defect-Oriented Test and Diagnosis of Digital ICs

VHDL Fault Simulation for Defect-Oriented Test and Diagnosis of Digital ICs VHDL Fault Simulation for Defect-Oriented Test and Diagnosis of Digital ICs F. Celeiro, L. Dias, J. Ferreira, M.B. Santos, J.P. Teixeira INESC / IST, Apartado 13069, 1017 Lisboa Codex, Portugal jct@spirou.inesc.pt

More information

LM3526 Dual Port USB Power Switch and Over-Current Protection

LM3526 Dual Port USB Power Switch and Over-Current Protection LM3526 Dual Port USB Power Switch and Over-Current Protection General Description The LM3526 provides Universal Serial Bus standard power switch and over-current protection for all host port applications.

More information

MICROPROCESSOR RELAY FOR PROTECTION OF ELECTRICAL SYSTEMS

MICROPROCESSOR RELAY FOR PROTECTION OF ELECTRICAL SYSTEMS MICROPROCESSOR RELAY FOR PROTECTION OF ELECTRICAL SYSTEMS V.LALITH KUMAR, IV-EEE S.T.I.C, GARIVIDI, VZM DT. INTRODUCTION: Modern power systems have a high degree of reliability. Power system design together

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

Highly Parallel Wafer Level Reliability Systems with PXI SMUs

Highly Parallel Wafer Level Reliability Systems with PXI SMUs Highly Parallel Wafer Level Reliability Systems with PXI SMUs Submitted by National Instruments Overview Reliability testing has long served as a method of ensuring that semiconductor devices maintain

More information