Paper C2. SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA

Size: px
Start display at page:

Download "Paper C2. SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA"

Transcription

1 Paper C2 SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA Authors: S. Baldacci, V. Zolesi, F. Cuzzocrea, T. Ramacciotti (Kayser Italia Srl. Livorno Italy) 1

2 List of acronyms BET = Back end Tools DCF = Dynamic Constraints File D_FPGA = Dynamically Re-configurable FPGA D_mod = Dynamic Module ESA = European Space Agency EC = European Community FET = Front end Tools FPSLIC = Field Programmable System Level Integrated Circuit GABRIEL = Gravity Assessment for Boiling Research and Investigation with ELectric field SEU = Single Event Upset 2

3 Contents! Introduction to the RECONF2 project! Introduction of a project demonstrator! D_FPGA configuration strategy! Development: the RECONF2 design flow! SEU Tolerance Aspects! Implementation of a demonstrator! Conclusions 3

4 RECONF2 objectives Development of a complete design environment to exploit the capabilities of Dynamically Re-configurable FPGA (D_FPGA) in complex designs Front end Tools (FET) Back end Tools (BET) Configuration Files VHDL description of a complex system Designer constraints FET " Break up a complex design in smaller modules in an automatic fashion or under constraints imposed by the designer ( FET are technology independent ) BET " Modular place and routing of dynamic modules and generation of bit files ( BET are technology dependent ) D_FPGA Target Device 4

5 Project Demonstrator Re-design of GABRIEL experiment module developed by Kayser Italia for the European Space Agency (ESA) flown on MASER 7 mission in 1996 and MASER 8 mission in 1999 Process controls for: Electrostatic condenser Temperature Sensors TV! Cell Pressure T1 T2 Fill/Drain valve! Platinum Wire Critical Heat Flux! Platinum Wire Heating Power Exhaust valve Mechanical pressure control Nitrogen vessel Injector valve P Pre-Heater or SSC-Thermal Bath Pressure Sensor 5 Platinum wire Light source T3 T4 P Stirrer Pressure Sensor

6 System Architecture: Current design! Current design uses an OTP FPGA for : MMU Watch Dog ADC and DAC memory mapped access! Process controls run in SW on an 80C196 Micro@12Mhz 6

7 System Architecture: New design! Exploitation of new D_FPGA design tools and methodology aims to obtain improvement in the following points :! Processing Speed! Reduction of Power! Low re-design effort! Adaptability! Tolerance to SEU Configuration Controller 7

8 D_FPGA General Re-Configuration Approach D_FPGA is configured with a static part and several dynamic modules which can be loaded and unloaded asynchronously from an external memory during normal operation of the D_FPGA D_FPGA FPGA or µc Configuration Controller Read/Write Read Dynamic Modules Static Part Bit-File Memory 8

9 D_FPGA Configuration details (I) Static 1 Static 2 Static 3 Triple Voting System FPGA or µc Control#N.1 Control#N.2 Control#N.3 Triple Voting System Read/Write Configuration Controller D_FPGA Read External Bit file memory D-mod1 D-mod2 D-mod3 Static 9

10 Configuration Controller: D_Modules context switching (I) Silicon Area D_mod#1 D_mod#1 D_mod#3... D_mod#2 Static part Trl= Reload Time Time TL= Latency Time 10

11 D_FPGA Configuration details (II) P-Static 1 P-Static 2 Error Detection System FPGA or µc Control#N.1 Control#N.2 Control#N.3 Triple Voting System Read/Write Configuration Controller D_FPGA Read External Bit file memory D-mod1 D-mod2 D-mod3 Pseudo-Static 11

12 Configuration Controller: D_Modules context switching (II) Silicon Area D_mod#1 D_mod#1 D_mod#3... D_mod#2 Pseudo-Static part Pseudo-Static part Trl= Reload Time Time TL= Latency Time Reload upon error detection 12

13 RECONF Design Flow: The Front End Tools Front End Tools To the BET Parsing System Scheduling Synthesis 1) VHDL of complete system & DCF Configuration Controller Generator 2) VHDLs of Dynamic modules and Static part From the BET 4) Configuration Files including Configuration Controller in its VHDL or C-Code version 3) Netlists of Dynamic modules and Static part 13

14 RECONF Design Flow: The Back End Tools Back End Tools Temporal System Planner Modular Floor Planner BitStreams Generation To the FET 1) Netlists of complete system & DCF 2) Configuration Files 14

15 Considerations on SEU tolerance (I) 1) Probability of SEU intrinsically reduced because of the reduction of exposed area at any given time D_FPGA SRAM External Rad-Hardened memory Exposed area at any time (Aexp) Equivalent Silicon Area of the complete Design (Atot) Probability of SEU is proportional to the exposed area P SEU A exp A exp < Atot 15

16 Considerations on SEU tolerance (II) 2) Logic SEU mitigated by the triple redundancy of the circuitry implemented in the D_FPGA Control#N.1 Control#N.2 Control#N.3 Triple Voting System 3) Configuration SEU to Dynamic Modules mitigated by continuous unconditioned reloading Cosmic Radiation Reload D_mod D_mod 16

17 Considerations on SEU tolerance (III) 4) Static part configuration SRAM is checked for consistency by the Configuration Controller. Only corrupted bits gets re-loaded from the external memory Cosmic Radiation Configuration Controller Check next block Mask file Y ==? N D_FPGA Config. SRAM of Static part Reload current block External Bit file memory 17

18 Considerations on SEU tolerance (IV) 4) Static part goes dynamic with a double redundant circuitry to detect errors. Upon error detection the pseudo-static part gets reloaded from the external bitfile memory External Bit file memory P-Static1 P-Static2 D_FPGA!=? Error detector Configuration Controller P-Static1 P-Static2!=? Reload 18

19 Implementation: A demo application based on an ATMEL product Configuration Controller External Bit file memory Rest of the system FPSLIC ATMEL AT94K: AT40K FPGA Core with AVR Micro and embedded peripherals 19

20 Conclusions! Re-design of a space application to demonstrate the possibilities and advantages of dynamic re-configurability of FPGAs! Novel design tools for D_FPGA based systems being developed/evaluated in the framework of the EC RECONF2 project! Configuration Control Strategies to improve: Use of silicon resources Processing performances SEU tolerance 20

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Niccolò Battezzati Filomena Decuzzi Luca Sterpone Massimo Violante 1 Goal To provide solutions for increasing the

More information

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Enhanced Dynamic Reconfigurable Processing Module for Future Space Applications ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Session: SpaceWire Missions and Applications

More information

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Authors: Robert L Akamine, Robert F. Hodson, Brock J. LaMeres, and Robert E. Ray www.nasa.gov Contents Introduction to the

More information

ATF280E A Rad-Hard reprogrammable FPGA

ATF280E A Rad-Hard reprogrammable FPGA ATF280E A Rad-Hard reprogrammable FPGA ESA/ESTEC 3 rd Microelectronics Presentation Days 2007 Valérie Ho-Shui-Ling Thibaud Gaillard Overview ATMEL rad-hard FPGA family The ATF280E FPGA ATMEL FPGA architecture

More information

SEMBRA SEnsoristica Multi Bus per Robotica spaziale (Multibus Wired and Wireless On-Board Sensors and Actuators Networks)

SEMBRA SEnsoristica Multi Bus per Robotica spaziale (Multibus Wired and Wireless On-Board Sensors and Actuators Networks) SEMBRA SEnsoristica Multi Bus per Robotica spaziale (Multibus Wired and Wireless On-Board Sensors and Actuators Networks) un progetto nell ambito del I Bando PMI Materiali, componenti, sensori Kayser Italia

More information

Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package

Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package INSTITUTE OF COMPUTER AND NETWORK ENGINEERING Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package Björn Fiethe, Frank Bubenhagen, Tobias Lange,

More information

DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR. Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro

DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR. Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro Instituto de Informática Universidade Federal do Rio Grande do Sul (UFRGS) Porto Alegre, RS - Brazil

More information

Space: The Final Frontier FPGAs for Space and Harsh Environments

Space: The Final Frontier FPGAs for Space and Harsh Environments Space: The Final Frontier FPGAs for Space and Harsh Environments Introduction FPGAs offer several benefits to the system designer Flexibility of Design performance, upgrades Reduction in NRE and Cost.

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales SAN FRANCISCO, CA, USA Ediz Cetin & Oliver Diessel University of New South Wales Motivation & Background Objectives & Approach Our technique Results so far Work in progress CHANGE 2012 San Francisco, CA,

More information

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data Melanie Berg, AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov Kenneth LaBel, NASA/GSFC Jonathan Pellish, NASA/GSFC

More information

MultiChipSat: an Innovative Spacecraft Bus Architecture. Alvar Saenz-Otero

MultiChipSat: an Innovative Spacecraft Bus Architecture. Alvar Saenz-Otero MultiChipSat: an Innovative Spacecraft Bus Architecture Alvar Saenz-Otero 29-11-6 Motivation Objectives Architecture Overview Other architectures Hardware architecture Software architecture Challenges

More information

Command & Data Handling. By: Justin Hadella Brandon Gilles

Command & Data Handling. By: Justin Hadella Brandon Gilles Command & Data Handling By: Justin Hadella Brandon Gilles Outline Design Goals Requirements System Layout Processor Considerations Baseline Design Current Development 2 Design Goals 1 Watt Operational

More information

EUROPEAN SPACE AGENCY MICROELECTRONICS SECTION. Final Report. YGT Final Report. Atmel FPGA. Filomena Decuzzi

EUROPEAN SPACE AGENCY MICROELECTRONICS SECTION. Final Report. YGT Final Report. Atmel FPGA. Filomena Decuzzi EUROPEAN SPACE AGENCY MICROELECTRONICS SECTION Final Report YGT Final Report Atmel FPGA Filomena Decuzzi YEAR 2009-2010 Summary Field Programmable Gate Arrays (FPGAs) are becoming more and more interesting

More information

A reliability-aware design methodology for embedded systems on multi-fpga platforms

A reliability-aware design methodology for embedded systems on multi-fpga platforms A reliability-aware design methodology for embedded systems on multi-fpga platforms Chiara Sandionigi sandionigi@elet.polimi.it Final presentation Agenda 1 2 The proposed reliability-aware design methodology

More information

A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit

A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit L. Piché, B. Gordon - Routes AstroEngineering L. Laba, K. Magnussen - MDA 1 Presentation Outline Description of Cascade System

More information

Overview of ESA activities on FPGA technology

Overview of ESA activities on FPGA technology Overview of ESA activities on FPGA technology David Merodio Codinachs European Space Agency Microelectronics Section (TEC-EDM) 30 th April 2010 Slide 1/43 Acknowledgments Thanks to all colleagues who contributed

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007 TU Wien 1 Fault Isolation and Error Containment in the TT-SoC H. Kopetz TU Wien July 2007 This is joint work with C. El.Salloum, B.Huber and R.Obermaisser Outline 2 Introduction The Concept of a Distributed

More information

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Jano Gebelein Infrastructure and Computer Systems in Data Processing (IRI) Frankfurt University Germany January 31st, 2012 Mannheim, Germany 1 Outline

More information

Reconfigurable, Radiation Tolerant S-Band Transponder for Small Satellite Applications

Reconfigurable, Radiation Tolerant S-Band Transponder for Small Satellite Applications SSC11-XI-5 Reconfigurable, Radiation Tolerant S-Band Transponder for Small Satellite Applications Christopher D. Sauer, Jennifer L. Alvarez, John R. Dickinson, Michael E. Epperly, Meredith B. Lecocke Southwest

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey

Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey T.Srinivas Reddy 1, J.Santosh 2, J.Prabhakar 3 Assistant Professor, Department of ECE, MREC, Hyderabad,

More information

Single Event Effects in SRAM based FPGA for space applications Analysis and Mitigation

Single Event Effects in SRAM based FPGA for space applications Analysis and Mitigation Single Event Effects in SRAM based FPGA for space applications Analysis and Mitigation Diagnostic Services in Network-on-Chips (DSNOC 09) Roland Weigand David Merodio Codinachs European Space Agency (1)

More information

ALMA Memo No Effects of Radiation on the ALMA Correlator

ALMA Memo No Effects of Radiation on the ALMA Correlator ALMA Memo No. 462 Effects of Radiation on the ALMA Correlator Joseph Greenberg National Radio Astronomy Observatory Charlottesville, VA July 8, 2003 Abstract This memo looks specifically at the effects

More information

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Vijay G. Savani, Akash I. Mecwan, N. P. Gajjar Institute of Technology, Nirma University vijay.savani@nirmauni.ac.in, akash.mecwan@nirmauni.ac.in,

More information

2 nd ESA IP-Cores Day

2 nd ESA IP-Cores Day Description of the Event 2 nd ESA IP-Cores Day 16th of September 2013 ESA / ESTEC (Noordwijk, The Netherlands) On the 16th of September 2013 the 2nd ESA IP-Cores day will take place at ESA/ESTEC, in EINSTEIN

More information

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology Analysis of Soft Error Mitigation Techniques for s in IBM Cu-08 90nm Technology Riaz Naseer, Rashed Zafar Bhatti, Jeff Draper Information Sciences Institute University of Southern California Marina Del

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

FT-Unshades2: High Speed, High Capacity Fault Tolerance Emulation System

FT-Unshades2: High Speed, High Capacity Fault Tolerance Emulation System FT-Unshades2: High Speed, High Capacity Fault Tolerance Emulation System Miguel A. Aguirre, Hipólito Guzmán-Miranda, Juan M. Mogollón, Javier Nápoles, Javier Barrientos, Luis Sanz Electronic Engineering

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies Your Company Logo Here Flying High-Performance FPGAs on Satellites: Two Case Studies Introduction Often when considering flying a FPGA or other high-performance device the first thoughts might be how will

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

FPGAs in radiation-harsh environments

FPGAs in radiation-harsh environments FPGAs in radiation-harsh environments 1 Application examples AFDX Used on new commercial aircrafts from Boeing and Airbus Main communication interface Safety level up to DAL-A Mission computers Used on

More information

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs Lee W. Lerner and Charles E. Stroud Dept. of Electrical and Computer Engineering Auburn University Auburn, AL, USA Abstract We present

More information

COTS Commercial is not always advertising Monica Alderighi

COTS Commercial is not always advertising Monica Alderighi COTS Commercial is not always advertising Monica Alderighi Astro-Siesta, 30/01/2014 M. Alderigh, Astro-Siesta, 30/01/2014 1 COTS - Definition By Commercial Off-The-Shelf (COTS) is meant software or hardware

More information

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring Outline Trusted Design in FPGAs Mohammad Tehranipoor ECE6095: Hardware Security & Trust University of Connecticut ECE Department Intro to FPGA Architecture FPGA Overview Manufacturing Flow FPGA Security

More information

New Reliable Reconfigurable FPGA Architecture for Safety and Mission Critical Applications LOGO

New Reliable Reconfigurable FPGA Architecture for Safety and Mission Critical Applications LOGO New Reliable Reconfigurable FPGA Architecture for Safety and Mission Critical Applications B. Chagun Basha, IETR Sébastien Pillement, IETR Loïc Lagadec, Lab-STICC LOGO Contents Introduction Making FPGA

More information

Dynamic Reconfigurable Computing Architecture for Aerospace Applications

Dynamic Reconfigurable Computing Architecture for Aerospace Applications Dynamic Reconfigurable Computing Architecture for Aerospace Applications Brock J. LaMeres 406-994-5987 lameres@ece.montana.edu Clint Gauer 406-994-6495 gauer33@gmail.com Electrical & Computer Engineering

More information

Implementation of single bit Error detection and Correction using Embedded hamming scheme

Implementation of single bit Error detection and Correction using Embedded hamming scheme Implementation of single bit Error detection and Correction using Embedded hamming scheme Anoop HK 1, Subodh kumar panda 2 and Vasudeva G 1 M.tech(VLSI & ES), BNMIT, Bangalore 2 Assoc Prof,Dept of ECE,

More information

Approaches to the SoC IP- Blocks Design With Errors Mitigation

Approaches to the SoC IP- Blocks Design With Errors Mitigation Approaches to the SoC IP- Blocks Design With Errors Mitigation Valentin Rozanov, Elena Suvorova Saint-Petersburg of Aerospace Instrumentation Errors on different stages of IP-block lifetime Design of SoC

More information

Radiation Hardened System Design with Mitigation and Detection in FPGA

Radiation Hardened System Design with Mitigation and Detection in FPGA Master of Science Thesis in Electrical Engineering Department of Electrical Engineering, Linköping University, 2016 Radiation Hardened System Design with Mitigation and Detection in FPGA Hampus Sandberg

More information

Ultra Low-Cost Defect Protection for Microprocessor Pipelines

Ultra Low-Cost Defect Protection for Microprocessor Pipelines Ultra Low-Cost Defect Protection for Microprocessor Pipelines Smitha Shyam Kypros Constantinides Sujay Phadke Valeria Bertacco Todd Austin Advanced Computer Architecture Lab University of Michigan Key

More information

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit ATMEL ATF280E Rad Hard SRAM Based FPGA Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit Overview Atmel FPGA Key Points and Architecture ATF280E Radiation Test Results 2 Overview Atmel FPGA Key

More information

Reliability Improvement in Reconfigurable FPGAs

Reliability Improvement in Reconfigurable FPGAs Reliability Improvement in Reconfigurable FPGAs B. Chagun Basha Jeudis de la Comm 22 May 2014 1 Overview # 2 FPGA Fabrics BlockRAM resource Dedicated multipliers I/O Blocks Programmable interconnect Configurable

More information

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005 Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting 4 19-20-21 July 2005 Page 1 Summary VPC2 and SPADA_RT Activity VPC2 Architecture Data Exchange VPC2 RMAP Implementation Issue FPGA Implementation

More information

Improving FPGA Design Robustness with Partial TMR

Improving FPGA Design Robustness with Partial TMR Improving FPGA Design Robustness with Partial TMR Brian Pratt, Michael Caffrey, Paul Graham, Keith Morgan, Michael Wirthlin Abstract This paper describes an efficient approach of applying mitigation to

More information

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications Björn Osterloh Institute of Computer and Network Engineering TU Braunschweig,

More information

11. SEU Mitigation in Stratix IV Devices

11. SEU Mitigation in Stratix IV Devices 11. SEU Mitigation in Stratix IV Devices February 2011 SIV51011-3.2 SIV51011-3.2 This chapter describes how to use the error detection cyclical redundancy check (CRC) feature when a Stratix IV device is

More information

9. SEU Mitigation in Cyclone IV Devices

9. SEU Mitigation in Cyclone IV Devices 9. SEU Mitigation in Cyclone IV Devices May 2013 CYIV-51009-1.3 CYIV-51009-1.3 This chapter describes the cyclical redundancy check (CRC) error detection feature in user mode and how to recover from soft

More information

Development Status for JAXA Critical Parts, 2008

Development Status for JAXA Critical Parts, 2008 The 21st Microelectronics Workshop Development Status for JAXA Critical Parts, 2008 Oct. 7th 2008 Electronic Components and Devices Group Aerospace Research and Development Directorate, JAXA Hiroyuki SHINDOU

More information

The SpaceWire RTC: Remote Terminal Controller

The SpaceWire RTC: Remote Terminal Controller SpaceWire-SnP Working Group ESTEC, Sept 15 th, 2004 The : Remote Terminal Controller Data Systems Division luca.tunesi@esa.int ESTEC, Sept 15 th, 2004 slide: 1 Background: the OPDPS Low/Med. Speed Bus:CAN

More information

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPAs K. Siozios 1, D. Soudris 1 and M. Hüebner 2 1 School of ECE, National Technical University of Athens reece Email: {ksiop, dsoudris}@microlab.ntua.gr

More information

Embedded Systems. Software Development & Education Center. (Design & Development with Various µc)

Embedded Systems. Software Development & Education Center. (Design & Development with Various µc) Software Development & Education Center Embedded Systems (Design & Development with Various µc) Module 1: Embedded C Programming INTRODUCTION TO EMBEDDED SYSTEM History & need of Embedded System Basic

More information

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation FPGAs For SPACE APPLICATIONS 2012, Sept. 1 2012 Copyright Atmel Corporation 03/14/2012 Roadmap Legend Product Eng Sample Concept Eng Sample FM FM AT40K family ATF5000 ATF2500 ATFSee900 Next generation

More information

FT-UNSHADES2. Adaption of the platform for SRAM-FPGA reliability assessment

FT-UNSHADES2. Adaption of the platform for SRAM-FPGA reliability assessment FT-UNSHADES2. Adaption of the platform for SRAM-FPGA reliability assessment J.M. Mogollón, H.G. Miranda, M.A. Aguirre, J. Nápoles, J. Barrientos, L. Sanz Electronic Engineering Dpt. School of Engineering.

More information

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA 1 Vatsya Tiwari M.Tech Student Department of computer science & engineering Abstract. This paper

More information

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS A PROPOSAL FOR A SPACE FLIGHT DEMONSTRATION OF A DYNAMICALLY RECONFIGURABLE PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

Aquaflair. Uniflair TRAC, TRAF and TRAH. Air-cooled chillers, free-cooling chillers and heat pumps with scroll compressors.

Aquaflair. Uniflair TRAC, TRAF and TRAH. Air-cooled chillers, free-cooling chillers and heat pumps with scroll compressors. Aquaflair Uniflair TRAC, TRAF and TRAH Air-cooled chillers, free-cooling chillers and heat pumps with scroll compressors 120-350kW Uniflair TRA ranges 115-350kW Air-cooled Chillers Cooling, Free cooling

More information

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P.

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. Chapter 8 Coping with Physical Failures, Soft Errors, and Reliability Issues System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 1 1 What is this chapter about? Gives an Overview of and

More information

Micro Sun Sensor with CMOS Imager for Small Satellite Attitude Control

Micro Sun Sensor with CMOS Imager for Small Satellite Attitude Control SSC05-VIII-5 Micro Sun Sensor with CMOS Imager for Small Satellite Attitude Control Keisuke Yoshihara, Hidekazu Hashimoto, Toru Yamamoto, Hirobumi Saito, Eiji Hirokawa, Makoto Mita Japan Aerospace Exploration

More information

Comparison of SET-Resistant Approaches for Memory-Based Architectures

Comparison of SET-Resistant Approaches for Memory-Based Architectures Comparison of SET-Resistant Approaches for Memory-Based Architectures Daniel R. Blum and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman,

More information

Space Micro Satellite Computer Goals Space Computer Performance Goals: >1,000 MIPS throughput Less than 1 SEU in 1,000 days Less than 10 watts power R

Space Micro Satellite Computer Goals Space Computer Performance Goals: >1,000 MIPS throughput Less than 1 SEU in 1,000 days Less than 10 watts power R Low Power, High-Speed Radiation Tolerant Computer & Flight Experiment Space Micro, Inc. dcz@spacemicro.com D. Czajkowski, M. Pagey, P. Samudrala, M. Goksel, and M. Viehman Space Micro, Inc., 9765 Clairemont

More information

John Cornforth (1), Andrew Bacon (1), I Sturland (2), Roland Trautner (TO) (3) (1) Presented by John Cornforth

John Cornforth (1), Andrew Bacon (1), I Sturland (2), Roland Trautner (TO) (3) (1) Presented by John Cornforth Smart Microsystems A Feasibility Study to Investigate the Decentralisation of Space Systems with highly efficient Micronodes using advanced ASIC technologies John Cornforth (1), Andrew Bacon (1), I Sturland

More information

Presented at the COMSOL Conference 2010 Paris Multiphysics Simulation of REMS hot-film Anemometer Under Typical Martian Atmosphere Conditions

Presented at the COMSOL Conference 2010 Paris Multiphysics Simulation of REMS hot-film Anemometer Under Typical Martian Atmosphere Conditions Presented at the COMSOL Conference 2010 Paris Multiphysics Simulation of REMS hot-film Anemometer Under Typical Martian Atmosphere Conditions author: Lukasz Kowalski Universitat Politècnica de Catalunya

More information

S950 3U cpci Radiation Tolerant PowerPC SBC

S950 3U cpci Radiation Tolerant PowerPC SBC S950 3U cpci Radiation Tolerant PowerPC SBC Designed for LEO, Mars Terrestrial with an Option for GEO Environments Single-Slot Conduction-Cooled 3U CompactPCI (cpci) Single Board Computer (SBC) High Performance

More information

Ensuring Design Integrity through Analysis of FPGA Bitstreams and IP Cores

Ensuring Design Integrity through Analysis of FPGA Bitstreams and IP Cores Ensuring Integrity through Analysis of FPGA s and IP Cores Jonathan P. Graf, Scott H. Harper, and Lee W. Lerner Luna Innovations Incorporated 1 Riverside Circle, Suite 4 Roanoke, VA 2416 fpga@lunainnovations.com

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

Digital Control for Space Power Management Devices

Digital Control for Space Power Management Devices Template reference : 100182079N-EN Digital Control for Space Power Management Devices Work conducted under ESA Contract nr.21826/08/nl/lvh DIGITAL POWER CONTROL Management of power devices via digital

More information

Building Reliable High-Performance Hardware. Fraunhofer FOKUS. Fraunhofer FOKUS

Building Reliable High-Performance Hardware. Fraunhofer FOKUS. Fraunhofer FOKUS Fraunhofer Institute for Open Communication Systems Kaiserin-Augusta-Allee 31 10589 Berlin, Germany www.fokus.fraunhofer.de 1 Building Reliable High-Performance Hardware Friedrich Schön System Quality

More information

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA J. George 1, S. Rezgui 2, G. Swift 3, C. Carmichael 2 For the North American Xilinx Test Consortium 1 The Aerospace

More information

Automated RTR Temporal Partitioning for Reconfigurable Embedded Real-Time System Design

Automated RTR Temporal Partitioning for Reconfigurable Embedded Real-Time System Design Automated RTR Temporal Partitioning for Reconfigurable Embedded Real-Time System Design C. Tanougast, Y. Berviller, P. Brunet and S. Weber L. I. E. N. Laboratoire d Instrumentation Electronique de Nancy

More information

Atmel AT94K FPSLIC Architecture Field Programmable Gate Array

Atmel AT94K FPSLIC Architecture Field Programmable Gate Array Embedded Processor Based Built-In Self-Test and Diagnosis of FPGA Core in FPSLIC John Sunwoo (Logic BIST) Srinivas Garimella (RAM BIST) Sudheer Vemula (I/O Cell BIST) Chuck Stroud (Routing BIST) Jonathan

More information

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University Built-In Self-Test for System-on on-chip: A Case Study Charles Stroud, Srinivas Garimella,, John Sunwoo, Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

More information

PowerPC- based Processor Modules for Space Applications

PowerPC- based Processor Modules for Space Applications PowerPC- based Processor Modules for Space Applications Patria Systems OY Jaakko Toivonen 12.09.2006 PowerPC- based Single Board Computers Two Single Board Computer (SBC) modules targeted for space applications:

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

Processor Building Blocks for Space Applications

Processor Building Blocks for Space Applications Processor Building Blocks for Space Applications John Holland, Northrop Grumman Corporation, Linthicum, U.S.A., John.Holland@ngc.com Jeremy W. Horner, Northrop Grumman Corporation, Linthicum, U.S.A., Jeremy.Horner@ngc.com

More information

LogiCORE IP Soft Error Mitigation Controller v3.2

LogiCORE IP Soft Error Mitigation Controller v3.2 LogiCORE IP Soft Error Mitigation Controller v. Product Guide PG06 April 4, 0 Table of Contents Chapter : Overview Memory Types.................................................................... 6 Mitigation

More information

Reliability of Programmable Input/Output Pins in the Presence of Configuration Upsets

Reliability of Programmable Input/Output Pins in the Presence of Configuration Upsets Brigham Young University BYU ScholarsArchive All Faculty Publications 2002-01-01 Reliability of Programmable Input/Output Pins in the Presence of Configuration Upsets Paul S. Graham Nathaniel Rollins See

More information

An automatic tool flow for the combined implementation of multi-mode circuits

An automatic tool flow for the combined implementation of multi-mode circuits An automatic tool flow for the combined implementation of multi-mode circuits Brahim Al Farisi, Karel Bruneel, João M. P. Cardoso and Dirk Stroobandt Ghent University, ELIS Department Sint-Pietersnieuwstraat

More information

Using Synplify to Design in Actel Radiation-Hardened FPGAs

Using Synplify to Design in Actel Radiation-Hardened FPGAs Using Synplify to Design in Actel Radiation-Hardened FPGAs Application Note Introduction Actel s RadHard and RadTolerant FPGAs offer advantages for applications in commercial and military satellites, deep

More information

Reconfigurable System-on-Chip Data Processing Units for Space Imaging Instruments

Reconfigurable System-on-Chip Data Processing Units for Space Imaging Instruments Reconfigurable System-on-Chip Data Processing Units for Space Imaging Instruments B. Fiethe, H. Michalik, C. Dierker, B. Osterloh, G. Zhou IDA TU Braunschweig, Hans-Sommer-Str. 66, D-38106 Braunschweig,

More information

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016 ECE 353 Lab 4 MIDI Receiver in Verilog Professor Daniel Holcomb UMass Amherst Fall 2016 Timeline and Grading for Lab 4 Lectures on 11/15 and 11/17 Due on 12/12 Demos in Duda hall Schedule will be posted

More information

About using FPGAs in radiation environments

About using FPGAs in radiation environments About using FPGAs in radiation environments Tullio Grassi (FNAL / Univ. of MD) Motivations We may need some "glue logic" between the Front-End ASICs and the GBT (see talk from Chris Tully HB/HE Strawman

More information

Next Generation Microprocessor for Power Systems Control September 2006 M. Ruiz, SABCA, Belgium

Next Generation Microprocessor for Power Systems Control September 2006 M. Ruiz, SABCA, Belgium Next Generation Microprocessor for Power Systems Control September 2006 M. Ruiz, SABCA, Belgium 1 Next Generation Microprocessor for Power Systems Control Presentation Overview Scope Microprocessor architecture

More information

COMPLEX EMBEDDED SYSTEMS

COMPLEX EMBEDDED SYSTEMS COMPLEX EMBEDDED SYSTEMS Embedded System Design and Architectures Summer Semester 2012 System and Software Engineering Prof. Dr.-Ing. Armin Zimmermann Contents System Design Phases Architecture of Embedded

More information

CprE 288 Introduction to Embedded Systems (Project and Platform Overview)

CprE 288 Introduction to Embedded Systems (Project and Platform Overview) CprE 288 Introduction to Embedded Systems (Project and Platform Overview) Instructor: Dr. Phillip Jones http://class.ece.iastate.edu/cpre288 1 Overview of Today s Lecture Announcements What are Embedded

More information

Operability and Modularity concepts of future RTUs/RIUs

Operability and Modularity concepts of future RTUs/RIUs Operability and Modularity concepts of future RTUs/RIUs ADCSS2015 Day 3 Thursday 22 October 2015 What is a RTU? The Remote Terminal Unit (RTU) is an Avionics equipment that provides functions such as:

More information

Paper SSC03-XI-6. Autonomous Telemetry Collection for Single-Processor Small Satellites

Paper SSC03-XI-6. Autonomous Telemetry Collection for Single-Processor Small Satellites Paper SSC03-XI-6 Autonomous Telemetry Collection for Single-Processor Small Satellites Dave Speer Northrop Grumman Electronic Systems Space Technology & Services 4276 Forbes Blvd. Lanham, MD 20706 On site

More information

Impact of JTAG/ Testability on Reliability

Impact of JTAG/ Testability on Reliability Impact of JTAG/1149.1 Testability on Reliability SCTA041A January 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Executive Summary. Functional and Performance Validation of the 80S32 µc. Deliverable D5.2 - Report Workpackage 5 Evaluation Kit

Executive Summary. Functional and Performance Validation of the 80S32 µc. Deliverable D5.2 - Report Workpackage 5 Evaluation Kit Functional and Performance Validation of the 80S32 µc Executive Summary Deliverable D5.2 - Report Workpackage 5 Evaluation Kit Author(s): T.Lampaounas, C.Papadas, E.Politis, G.Dramitinos, K.Makris Reviewer(s):

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

11th symposium on advanced space technologies in robotics and automation

11th symposium on advanced space technologies in robotics and automation 11th symposium on advanced space technologies in robotics and automation CONTRIBUTION OF A POWERFUL IMAGE PROCESSING UNIT TO THE AUTONOMY OF ROBOTIC INSTRUMENTS Thomas Würgler, Neuchâtel, Switzerland Thursday,

More information

Analog ASICs in industrial applications

Analog ASICs in industrial applications Analog ASICs in industrial applications Customised IC solutions for sensor interface applications in industrial electronics the requirements and the possibilities Synopsis Industrial electronics creates

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

Study on FPGA SEU Mitigation for Readout Electronics of DAMPE BGO Calorimeter

Study on FPGA SEU Mitigation for Readout Electronics of DAMPE BGO Calorimeter Study on FPGA SEU Mitigation for Readout Electronics of AMPE BGO Calorimeter Zhongtao Shen, Changqing Feng, Shanshan Gao, eliang Zhang, i Jiang, Shubin Liu, i An Abstract The BGO calorimeter, which provides

More information