trend: embedded systems Composable Timing and Energy in CompSOC trend: multiple applications on one device problem: design time 3 composability

Size: px
Start display at page:

Download "trend: embedded systems Composable Timing and Energy in CompSOC trend: multiple applications on one device problem: design time 3 composability"

Transcription

1 Eindhoven University of Technology This research is supported by EU grants T-CTEST, Cobra and NL grant NEST. Parts of the platform were developed in COMCAS, Scalopes, TSA, NEVA, MESA. trend: embedded s phones, game consoles, cars, refrigerators, buildings,... interaction with physical world è real-time requirements Composable Timing and Energy in Kees Goossens & the team Kees Goossens <k.g.w.goossens@tue.nl> Group Electrical Engineering Faculty audio, video, graphics, games, artificial intelligence, internet,... different application domains have diverse requirements methodologies independent vendors use cases problem: design time s are complex time is short + money trend: multiple applications on one device it takes too long getting worse time start start design selling first profit end of life monolithic verification after integration, multiple applications circular verification who to blame for errors? goal & approach 4 reduce SOC design effort 5 virtual platform per application, time, energy budgets independent design, verification, and execution per application application as the unit of verification & re-use design, verify, execute in a virtual platform no interference when integrating or switching use cases è inter-dependent N x N x N x N è 4 independent N + N + N + N

2 Eindhoven University of Technology 6 & 7 time-division multiplex virtual platforms no interference, time, energy programming model, scheduling, power management per application for T use predictable schedulers, and associated real-time formalism FT radio virtual platform ST video virtual platform FT radio virtual platform ST video virtual platform intra-application scheduling & power management inter-application scheduling: hypervisor, TOS, inter-application scheduling 8 9 applications, tasks, transactions s,, memories SAM DAM SAM DAM 0 code & data fit in memories no cache NOC dependencies, etc. NOC is one resource P C S no task migration use for remote loads P C S task X X transaction over NOC transaction SAM DAM SAM DAM

3 Eindhoven University of Technology preemption scheduling interval, period time-division multiplexing (TDM) no interference between applications resources have different service units, periods cost of preemption GALS, DVFS small service units non-determinism application scheduling SAM DAM SAM DAM 4 5 on only separated scheduling & power management trusted inter-app untrusted -defined intra-app time, energy, and power budgets application application intra-application task scheduling application scheduling SAM DAM 6 7 application application application application CompOSe TOS CompOSe TOS CompOSe TOS CompOSe TOS tck PMU (controller) network on chip

4 Eindhoven University of Technology worst-case execution time of a request on the unshared resource WCET = fn(request,resource) compositional P C S model the application, resources, dependencies, binding,... WCET = fn(request,resource) SAM DAM SAM DAM 0 worst-case response time takes resource sharing into account WCT =~ WCET(t,r) * period / budget compositional WCT takes inter-app and intra-app resource sharing into account WCT =~ WCET(t,r) * app_period / app_budget * task_period / task_budget t WCT =~ WCET(t,r) * 4/ WCT =~ WCET(t,r) * 4/ * 4/ SAM DAM SAM DAM use the WCT of each actor cyclo-static dataflow scenario aware application CompOSe TOS application CompOSe TOS SAM DAM network on chip 4

5 Eindhoven University of Technology CompOSe TOS application application CompOSe TOS 00 buffer sizes & flow control latency-rate models of NOC, DAM application throughput = /MCM MCM=max. cycle mean task task NOC task 0 network on chip 6 7 application application CompOSe TOS CompOSe TOS buffer sizes & flow control latency-rate models of NOC, DAM application throughput = /MCM (controller) /O task task NOC DAM task network on chip design flow 8 tools, tools, tools,... 9 the SDF dataflow framework automatic generation of : s, NOC, controllers for cyclo-static dataflow applications configurations: actor-resource binding, buffer sizes, TOS scheduling interval, scheduler settings (TDM slots, CCSP priorities, etc.),... drivers: to run-time load the configurations on the end-to-end application throughput and latency analysis multi-fpga prototype Legend file Existing Tool Missing Tool Application flow NLP (C) Compaan/LIACS CSDF + C esource req. Analysis WCET Actor req. Code compilation Binary code Config data Mapping SDF Mapping flow Communication Architecture flow Hardware & arb. configuration (C) VHDL Hardware flow Compose make EMSOFT bootable tutorial Xilinx files Aenoclib Xilinxproj 9 5

6 Eindhoven University of Technology 6 salient points: & CompOSe TOS 0 salient points: & CompOSe TOS tracking of time, progress, energy, power, & slack fast DVFS, e.g. NXP [Pineda] or CEA/LETI [Vivet] constant scheduling interval tracking of time, progress, energy, power, & slack fast DVFS, e.g. NXP [Pineda] or CEA/LETI [Vivet] constant scheduling interval variation is removed variation is removed f max f WCET of OS f max f f IS + OS halt f interrupt ET program halt clock WCET interrupt ET f 0 WCET salient points: NOC salient points: Predator DAM controller global distributed scheduler (TDM) single pipelined resource fewer, smaller buffers one level of scheduling best cost : performance trade-off latency-rate dataflow model, incl. end-to-end flow control. predictable patterns. credit-controlled static priority (CCSP) decoupled latency & rate decoupled allocation granularity & latency no over-allocation CCSP scheduler pattern-based command generator salient points: Predator DAM controller 4 (current) limitations 5 predictable composable delay ET of responses to WCT WCT CCSP scheduler arrival time pattern-based command generator controller multiple use cases fully supported by NOC only supported programming models cyclo-static & variable-rate dataflow Kahn process networks data and code must fit in memories no caches, or else flush on preemption no I/O virtualisation no external interrupts interrupt reserved for TOS pre-emptive intra-application task scheduling prototyped no protection time, energy, and power budgets, but no budget DVFS simulated on FPGA 6

7 Eindhoven University of Technology 7 conclusions 6 the team 7 reduce SOC design effort independent design, verification, and execution per application application as the unit of verification & re-use application-specific scheduling & power management any mix of NT, ST, FT design flow (SDF, ) VHDL prototype used in teaching MSc embedded s lab Eindhoven university of technology Benny Akesson adu Stefan Sven Goossens Manil Dev Gomony Delft university of technology Anca Molnos Arnaldo Azevedo Karthik Chandrasekar Davit Mirzoyan Ashkan Beyranvand Nejad Andrew Nelson Pavel Zaykov in close collaboration with the dataflow research (SDF) at TU/e Sander Stuijk Martijn Koedam Marc Geilen and many others This research is supported by EU grants T-CTEST, Cobra and NL grant NEST. Parts of the platform were developed in COMCAS, Scalopes, TSA, NEVA, MESA. more information 8 end 9, in Multi System-on-Chip. Huebner (ed), Springer, 00 real-time NOC, DAC 0 Predator real-time DAM controller, DATE CompOSe TOS, MICPO composable power management, SAMOS SDF, DAC 06 Stuijk, et al. for further information Kees Goossens <k.g.w.goossens@tue.nl> Group Electrical Engineering Faculty abstract 40 This presentation will overview of the platform that enables multiple applications to be implemented, verified, and executed independently. Any mix of best-effort, soft and firm real-time applications can be integrated, without any interference between them. Composability holds for functional behaviour, but also for timing, energy, and power. Every application has its own time budget on s, network on chip, on-chip memories, and SDAM off-chip, enabling end-toend performance guarantees for real-time applications. Energy and power budgets per application on each allow each application to have its own (real-time) power manager, without causing interference. 7

The CompSOC Design Flow for Virtual Execution Platforms

The CompSOC Design Flow for Virtual Execution Platforms NEST COBRA CA104 The CompSOC Design Flow for Virtual Execution Platforms FPGAWorld 10-09-2013 Sven Goossens*, Benny Akesson*, Martijn Koedam*, Ashkan Beyranvand Nejad, Andrew Nelson, Kees Goossens* * Introduction

More information

Efficient real-time SDRAM performance

Efficient real-time SDRAM performance 1 Efficient real-time SDRAM performance Kees Goossens with Benny Akesson, Sven Goossens, Karthik Chandrasekar, Manil Dev Gomony, Tim Kouters, and others Kees Goossens

More information

Memory Controllers for Real-Time Embedded Systems. Benny Akesson Czech Technical University in Prague

Memory Controllers for Real-Time Embedded Systems. Benny Akesson Czech Technical University in Prague Memory Controllers for Real-Time Embedded Systems Benny Akesson Czech Technical University in Prague Trends in Embedded Systems Embedded systems get increasingly complex Increasingly complex applications

More information

Composable and Predictable Power Management

Composable and Predictable Power Management Composable and Predictable Power Management Composable and Predictable Power Management PROEFSCHRIFT ter verkrijging van de graad van doctor aan de Technische Universiteit Delft, op gezag van de Rector

More information

D 2.2 Concepts for Interrupt Virtualisation

D 2.2 Concepts for Interrupt Virtualisation Project Number 288008 D 2.2 Concepts for Interrupt Virtualisation Version 1.0 Final Public Distribution Eindhoven University of Technology and Technical University of Denmark Project Partners: AbsInt Angewandte

More information

Trends in Embedded System Design

Trends in Embedded System Design Trends in Embedded System Design MPSoC design gets increasingly complex Moore s law enables increased component integration Digital convergence creates a market for highly integrated devices The resulting

More information

Modelling, Analysis and Scheduling with Dataflow Models

Modelling, Analysis and Scheduling with Dataflow Models technische universiteit eindhoven Modelling, Analysis and Scheduling with Dataflow Models Marc Geilen, Bart Theelen, Twan Basten, Sander Stuijk, AmirHossein Ghamarian, Jeroen Voeten Eindhoven University

More information

Exploiting Expendable Process-Margins in DRAMs for Run-Time Performance Optimization

Exploiting Expendable Process-Margins in DRAMs for Run-Time Performance Optimization Exploiting Expendable Process-Margins in DRAMs for Run-Time Performance Optimization Karthik Chandrasekar, Sven Goossens 2, Christian Weis 3, Martijn Koedam 2, Benny Akesson 4, Norbert Wehn 3, and Kees

More information

Composable Dynamic Voltage and Frequency Scaling and Power Management for Dataflow Applications

Composable Dynamic Voltage and Frequency Scaling and Power Management for Dataflow Applications Composable Dynamic Voltage and Frequency Scaling and Power Management for Dataflow Applications Kees Goossens 1, Dongrui She 1, Aleksandar Milutinovic 2, Anca Molnos 3 1 Eindhoven University of Technology

More information

Published in: Proceedings of the 17th Euromicro Conference on Digital Systems Design (DSD 2014), August 2014, Verona, Italy

Published in: Proceedings of the 17th Euromicro Conference on Digital Systems Design (DSD 2014), August 2014, Verona, Italy Composable and predictable dynamic loading for timecritical partitioned systems Sinha, S.S.; Koedam, M.L.P.J.; Wijk, R.G.H. van; Nelson, A.T.; Nejad, A.B.; Geilen, M.C.W.; Goossens, K.G.W. Published in:

More information

Process-Variation-Aware Mapping of Best-Effort and Real-Time Streaming Applications to MPSoCs

Process-Variation-Aware Mapping of Best-Effort and Real-Time Streaming Applications to MPSoCs Process-Variation-Aware Mapping of Best-Effort and Real-Time Streaming Applications to MPSoCs DAVIT MIRZOYAN, Delft University of Technology BENNY AKESSON and KEES GOOSSENS, Eindhoven University of Technology

More information

Cross Clock-Domain TDM Virtual Circuits for Networks on Chips

Cross Clock-Domain TDM Virtual Circuits for Networks on Chips Cross Clock-Domain TDM Virtual Circuits for Networks on Chips Zhonghai Lu Dept. of Electronic Systems School for Information and Communication Technology KTH - Royal Institute of Technology, Stockholm

More information

Embedded Systems. Series Editors

Embedded Systems. Series Editors Embedded Systems Series Editors Nikil D. Dutt, Department of Computer Science, Zot Code 3435, Donald Bren School of Information and Computer Sciences, University of California, Irvine, CA 92697-3435, USA

More information

Composable Resource Sharing Based on Latency-Rate Servers

Composable Resource Sharing Based on Latency-Rate Servers Composable Resource Sharing Based on Latency-Rate Servers Benny Akesson 1, Andreas Hansson 1, Kees Goossens 2,3 1 Eindhoven University of Technology 2 NXP Semiconductors Research 3 Delft University of

More information

Parallel Computing 39 (2013) Contents lists available at SciVerse ScienceDirect. Parallel Computing

Parallel Computing 39 (2013) Contents lists available at SciVerse ScienceDirect. Parallel Computing Parallel Computing 39 (2013) 424 441 Contents lists available at SciVerse ScienceDirect Parallel Computing journal homepage: www.elsevier.com/locate/parco A hardware/software platform for QoS bridging

More information

Kees Goossens Electronic Systems TM 3218 PPMA TPBC T-PIC MBS AICP1 AICP2 VMPG VIP1 VIP2 MSP1 MSP2 MSP3 S S R W M S M S M S M S M S M S

Kees Goossens Electronic Systems TM 3218 PPMA TPBC T-PIC MBS AICP1 AICP2 VMPG VIP1 VIP2 MSP1 MSP2 MSP3 S S R W M S M S M S M S M S M S EJTAG FPBC -PIC DBG PBC GLOBAL IIC x CLOCK EET T_DBG BOOT P90 -Bridge -PI Bus F-PI Bus F-Gate DE PCI -Gate DA CAD UAT x UB 9 emory Controller C-Bridge PPA B AICP AICP VPG V V P P P T-Gate T 8 T-PI Bus

More information

A Unified Execution Model for Data-Driven Applications on a Composable MPSoC

A Unified Execution Model for Data-Driven Applications on a Composable MPSoC Unified Execution Model for Data-Driven pplications on a omposable MPSo shkan Beyranvand Nejad Delft University of Technology Delft, The Netherlands a.beyranvandnejad@tudelft.nl nca Molnos Delft University

More information

Extensions of Daedalus Todor Stefanov

Extensions of Daedalus Todor Stefanov Extensions of Daedalus Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Overview of Extensions in Daedalus DSE limited to

More information

Automatic Parallelization of NLPs with Non-Affine Index- Expressions. Marco Bekooij (NXP research) Tjerk Bijlsma (University of Twente)

Automatic Parallelization of NLPs with Non-Affine Index- Expressions. Marco Bekooij (NXP research) Tjerk Bijlsma (University of Twente) Automatic Parallelization of NLPs with Non-Affine Index- Expressions Marco Bekooij (NXP research) Tjerk Bijlsma (University of Twente) Outline Context: car-entertainment applications Mapping Flow Motivation

More information

A Reconfigurable Real-Time SDRAM Controller for Mixed Time-Criticality Systems

A Reconfigurable Real-Time SDRAM Controller for Mixed Time-Criticality Systems A Reconfigurable Real-Time SDRAM Controller for Mixed Time-Criticality Systems Sven Goossens, Jasper Kuijsten, Benny Akesson, Kees Goossens Eindhoven University of Technology {s.l.m.goossens,k.b.akesson,k.g.w.goossens}@tue.nl

More information

Process-Variation Aware Mapping of Real-Time Streaming Applications to MPSoCs for Improved Yield

Process-Variation Aware Mapping of Real-Time Streaming Applications to MPSoCs for Improved Yield Process-Variation Aware Mapping of Real-Time Streaming Applications to MPSoCs for Improved Yield Davit Mirzoyan 1, Benny Akesson 2, Kees Goossens 2 1 Delft University of Technology, 2 Eindhoven University

More information

Computer Engineering Mekelweg 4, 2628 CD Delft The Netherlands MSc THESIS

Computer Engineering Mekelweg 4, 2628 CD Delft The Netherlands   MSc THESIS Computer Engineering Mekelweg 4, 2628 CD Delft The Netherlands http://ce.et.tudelft.nl/ 2012 MSc THESIS Dynamic loading and task migration for streaming applications on a composable system-on-chip Adriaan

More information

Reliable Embedded Multimedia Systems?

Reliable Embedded Multimedia Systems? 2 Overview Reliable Embedded Multimedia Systems? Twan Basten Joint work with Marc Geilen, AmirHossein Ghamarian, Hamid Shojaei, Sander Stuijk, Bart Theelen, and others Embedded Multi-media Analysis of

More information

Memory Architectures for NoC-Based Real-Time Mixed Criticality Systems

Memory Architectures for NoC-Based Real-Time Mixed Criticality Systems Memory Architectures for NoC-Based Real-Time Mixed Criticality Systems Neil Audsley Real-Time Systems Group Computer Science Department University of York York United Kingdom 2011-12 1 Overview Motivation:

More information

Scalable and bandwidth-efficient memory subsystem design for real-time systems

Scalable and bandwidth-efficient memory subsystem design for real-time systems Scalable and bandwidth-efficient memory subsystem design for real-time systems Citation for published version (APA): Gomony, M. D. (2015). Scalable and bandwidth-efficient memory subsystem design for real-time

More information

CoMPSoC: A Template for Composable and Predictable Multi-Processor System on Chips

CoMPSoC: A Template for Composable and Predictable Multi-Processor System on Chips CoMPSoC: A Template for Composable and Predictable Multi-Processor System on Chips ANDREAS HANSSON Eindhoven University of Technology, Eindhoven, The Netherlands KEES GOOSSENS NXP Semiconductors, Eindhoven,

More information

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip ASP-DAC 2010 20 Jan 2010 Session 6C Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip Jonas Diemer, Rolf Ernst TU Braunschweig, Germany diemer@ida.ing.tu-bs.de Michael Kauschke Intel,

More information

Architecture and Optimal Configuration of a Real-Time Multi-Channel Memory Controller

Architecture and Optimal Configuration of a Real-Time Multi-Channel Memory Controller Architecture and Optimal Configuration of a Real-Time Multi-Channel Controller Manil Dev Gomony, Benny Akesson, and Kees Goossens Eindhoven University of Technology, The Netherlands CISTER-ISEP Research

More information

Networks on Chip. Axel Jantsch. November 24, Royal Institute of Technology, Stockholm

Networks on Chip. Axel Jantsch. November 24, Royal Institute of Technology, Stockholm Networks on Chip Axel Jantsch Royal Institute of Technology, Stockholm November 24, 2004 Network on Chip Seminar, Linköping, November 25, 2004 Networks on Chip 1 Overview NoC as Future SoC Platforms What

More information

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 133 CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 6.1 INTRODUCTION As the era of a billion transistors on a one chip approaches, a lot of Processing Elements (PEs) could be located

More information

Overview of Dataflow Languages. Waheed Ahmad

Overview of Dataflow Languages. Waheed Ahmad Overview of Dataflow Languages Waheed Ahmad w.ahmad@utwente.nl The purpose of models is not to fit the data but to sharpen the questions. Samuel Karlins 11 th R.A Fisher Memorial Lecture Royal Society

More information

Embedded Systems. Series Editors

Embedded Systems. Series Editors Embedded Systems Series Editors Nikil D. Dutt, Department of Computer Science, Zot Code 3435, Donald Bren School of Information and Computer Sciences, University of California, Irvine, CA 92697-3435, USA

More information

Reliable Dynamic Embedded Data Processing Systems

Reliable Dynamic Embedded Data Processing Systems 2 Embedded Data Processing Systems Reliable Dynamic Embedded Data Processing Systems sony Twan Basten thales Joint work with Marc Geilen, AmirHossein Ghamarian, Hamid Shojaei, Sander Stuijk, Bart Theelen,

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Real-Time Cache Management for Multi-Core Virtualization

Real-Time Cache Management for Multi-Core Virtualization Real-Time Cache Management for Multi-Core Virtualization Hyoseung Kim 1,2 Raj Rajkumar 2 1 University of Riverside, California 2 Carnegie Mellon University Benefits of Multi-Core Processors Consolidation

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Composable Virtual Memory for an Embedded SoC

Composable Virtual Memory for an Embedded SoC Composable Virtual emory for an mbedded SoC Cor eenderinck, Anca olnos Delft University of Technology Delft, the Netherlands {a.m.molnos}@tudelft.nl Kees Goossens indhoven University of Technology indhoven,

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

Modelling and simulation of guaranteed throughput channels of a hard real-time multiprocessor system

Modelling and simulation of guaranteed throughput channels of a hard real-time multiprocessor system Modelling and simulation of guaranteed throughput channels of a hard real-time multiprocessor system A.J.M. Moonen Information and Communication Systems Department of Electrical Engineering Eindhoven University

More information

Modular ARM System Design

Modular ARM System Design An ARM Approved Training Partner for more than 7 years, Doulos has delivered ARM training in more than half of the world's top ten semiconductor companies. Doulos is the only ARM Approved Training partner

More information

Dynamic Resource Allocation for Priority Processing

Dynamic Resource Allocation for Priority Processing Dynamic Resource Allocation for Priority Processing Master Project Martijn van den Heuvel m.m.h.p.v.d.heuvel@student.tue.nl Systems Architecture and Networking (SAN) Department of Mathematics and Computer

More information

Dynamic Resource Allocation for Priority Processing

Dynamic Resource Allocation for Priority Processing Dynamic Resource Allocation for Priority Processing Master Project Martijn van den Heuvel m.m.h.p.v.d.heuvel@student.tue.nl Systems Architecture and Networking (SAN) Department of Mathematics and Computer

More information

Worst Case Analysis of DRAM Latency in Multi-Requestor Systems. Zheng Pei Wu Yogen Krish Rodolfo Pellizzoni

Worst Case Analysis of DRAM Latency in Multi-Requestor Systems. Zheng Pei Wu Yogen Krish Rodolfo Pellizzoni orst Case Analysis of DAM Latency in Multi-equestor Systems Zheng Pei u Yogen Krish odolfo Pellizzoni Multi-equestor Systems CPU CPU CPU Inter-connect DAM DMA I/O 1/26 Multi-equestor Systems CPU CPU CPU

More information

CS 856 Latency in Communication Systems

CS 856 Latency in Communication Systems CS 856 Latency in Communication Systems Winter 2010 Latency Challenges CS 856, Winter 2010, Latency Challenges 1 Overview Sources of Latency low-level mechanisms services Application Requirements Latency

More information

kickoff 15 oct 2004 Project Overview Henk Corporaal

kickoff 15 oct 2004 Project Overview Henk Corporaal PreMaDoNA kickoff 15 oct 2004 Project Overview Henk Corporaal Agenda 15.00 Opening and Overview 15.30 Implementation and Demonstrator 15.40 Project Management 15.55 Application track 16.05 Simulation track

More information

High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy

High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy marko.bertogna@unimore.it http://hipert.unimore.it/ HiPeRT Lab Research on High-Performance Real-Time Systems ~20 people

More information

A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems

A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems Martin Schoeberl, Florian Brandner, Jens Sparsø, Evangelia Kasapaki Technical University of Denamrk 1 Real-Time Systems

More information

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 8. Hardware Components Lothar Thiele Computer Engineering and Networks Laboratory Do you Remember? 8 2 8 3 High Level Physical View 8 4 High Level Physical View 8 5 Implementation Alternatives

More information

Real-Time Mixed-Criticality Wormhole Networks

Real-Time Mixed-Criticality Wormhole Networks eal-time Mixed-Criticality Wormhole Networks Leandro Soares Indrusiak eal-time Systems Group Department of Computer Science University of York United Kingdom eal-time Systems Group 1 Outline Wormhole Networks

More information

Department of Computer Science, Institute for System Architecture, Operating Systems Group. Real-Time Systems '08 / '09. Hardware.

Department of Computer Science, Institute for System Architecture, Operating Systems Group. Real-Time Systems '08 / '09. Hardware. Department of Computer Science, Institute for System Architecture, Operating Systems Group Real-Time Systems '08 / '09 Hardware Marcus Völp Outlook Hardware is Source of Unpredictability Caches Pipeline

More information

Conservative and compositional modeling in the CompSOC platform

Conservative and compositional modeling in the CompSOC platform Eindhoven University of Technology MASTER Conservative and compositional modeling in the CompSOC platform Milea, T.Y. Award date: 2012 Link to publication Disclaimer This document contains a student thesis

More information

Ultra-Fast NoC Emulation on a Single FPGA

Ultra-Fast NoC Emulation on a Single FPGA The 25 th International Conference on Field-Programmable Logic and Applications (FPL 2015) September 3, 2015 Ultra-Fast NoC Emulation on a Single FPGA Thiem Van Chu, Shimpei Sato, and Kenji Kise Tokyo

More information

Multimedia Systems 2011/2012

Multimedia Systems 2011/2012 Multimedia Systems 2011/2012 System Architecture Prof. Dr. Paul Müller University of Kaiserslautern Department of Computer Science Integrated Communication Systems ICSY http://www.icsy.de Sitemap 2 Hardware

More information

Abstract. Testing Parameters. Introduction. Hardware Platform. Native System

Abstract. Testing Parameters. Introduction. Hardware Platform. Native System Abstract In this paper, we address the latency issue in RT- XEN virtual machines that are available in Xen 4.5. Despite the advantages of applying virtualization to systems, the default credit scheduler

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

Task-FIFO Co-Scheduling of Streaming Applications on MPSoCs with Predictable Memory Hierarchy

Task-FIFO Co-Scheduling of Streaming Applications on MPSoCs with Predictable Memory Hierarchy Task-FIFO Co-Scheduling of Streaming Applications on MPSoCs with Predictable Memory Hierarchy Qi Tang, Twan Basten, Marc Geilen, Sander Stuijk and Ji-Bo Wei Department of Electronic Science and Engineering

More information

Computational Models for Concurrent Streaming Applications

Computational Models for Concurrent Streaming Applications 2 Computational Models for Concurrent Streaming Applications The challenges of today Twan Basten Based on joint work with Marc Geilen, Sander Stuijk, and many others Department of Electrical Engineering

More information

Department of Computer Science Institute for System Architecture, Operating Systems Group REAL-TIME MICHAEL ROITZSCH OVERVIEW

Department of Computer Science Institute for System Architecture, Operating Systems Group REAL-TIME MICHAEL ROITZSCH OVERVIEW Department of Computer Science Institute for System Architecture, Operating Systems Group REAL-TIME MICHAEL ROITZSCH OVERVIEW 2 SO FAR talked about in-kernel building blocks: threads memory IPC drivers

More information

Thanks to... Composing and synchronizing real-time components through virtual platforms in vehicular systems

Thanks to... Composing and synchronizing real-time components through virtual platforms in vehicular systems Thanks to... Composing and synchronizing real-time components through virtual platforms in vehicular systems Promotor and co-promotor: Prof. Johan J. Lukkien Dr. Reinder J. Bril Martijn van den Heuvel

More information

CA-MPSoC: An Automated Design Flow for Predictable Multi-processor Architectures for Multiple Applications

CA-MPSoC: An Automated Design Flow for Predictable Multi-processor Architectures for Multiple Applications -MPSoC: An Automated Design Flow for Predictable Multi-processor Architectures for Multiple Applications A. Shabbir,a,, A. Kumar a,b,, S. Stuijk a,, B. Mesman a,, H. Corporaal a, a Eindhoven University

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

Short Term Courses (Including Project Work)

Short Term Courses (Including Project Work) Short Term Courses (Including Project Work) Courses: 1.) Microcontrollers and Embedded C Programming (8051, PIC & ARM, includes a project on Robotics) 2.) DSP (Code Composer Studio & MATLAB, includes Embedded

More information

Event Device Drivers. Release rc1

Event Device Drivers. Release rc1 Event Device Drivers Release 19.02.0-rc1 December 23, 2018 CONTENTS 1 NXP DPAA Eventdev Driver 2 1.1 Features........................................ 2 1.2 Supported DPAA SoCs................................

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip

Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip Trade Offs in the Design of a Router with Both Guaranteed and BestEffort Services for Networks on Chip E. Rijpkema, K. Goossens, A. R dulescu, J. Dielissen, J. van Meerbergen, P. Wielage, and E. Waterlander

More information

IN4343 Real-Time Systems

IN4343 Real-Time Systems IN4343 Real-Time Systems Koen Langendoen, TA (TBD) 2017-2018 Delft University of Technology Challenge the future Course outline Real-time systems Lectures theory instruction Exam Reinder Bril TU/e Practicum

More information

Towards Future Adaptive Multiprocessor Systems-On-Chip: an Innovative Approach for Flexible Architectures

Towards Future Adaptive Multiprocessor Systems-On-Chip: an Innovative Approach for Flexible Architectures Towards Future Adaptive Multiprocessor Systems-On-Chip: an Innovative Approach for Flexible Architectures Fabrice Lemonnier, Philippe Millet, Gabriel Marchesan Almeida, Michael Hübner, Jürgen Becker, Sébastien

More information

Predictable Mapping of Streaming Applications on Multiprocessors

Predictable Mapping of Streaming Applications on Multiprocessors Predictable Mapping of Streaming Applications on Multiprocessors PROEFSCHRIFT ter verkrijging van de graad van doctor aan de Technische Universiteit Eindhoven, op gezag van de Rector Magnificus prof.dr.ir.

More information

Resource Manager for Non-preemptive Heterogeneous Multiprocessor System-on-chip

Resource Manager for Non-preemptive Heterogeneous Multiprocessor System-on-chip Resource Manager for Non-preemptive Heterogeneous Multiprocessor System-on-chip Akash Kumar, Bart Mesman, Bart Theelen and Henk Corporaal Eindhoven University of Technology 5600MB Eindhoven, The Netherlands

More information

Making Performance Understandable: Towards a Standard for Performance Counters on Manycore Architectures

Making Performance Understandable: Towards a Standard for Performance Counters on Manycore Architectures Parallel Hardware Parallel Applications IT industry (Silicon Valley) Parallel Software Users Making Performance Understandable: Towards a Standard for Performance Counters on Manycore Architectures Sarah

More information

Marc van Hintum RESOURCE VIRTUALIZATION FOR MAPPING MULTIPLE APPLICATIONS ON THE SAME PLATFORM

Marc van Hintum RESOURCE VIRTUALIZATION FOR MAPPING MULTIPLE APPLICATIONS ON THE SAME PLATFORM Marc van Hintum RESOURCE VIRTUALIZATION FOR MAPPING MULTIPLE APPLICATIONS ON THE SAME PLATFORM R E C O U R C E V I RT U A L I Z AT I O N F O R M A P P I N G M U LT I P L E A P P L I C AT I O N S O N T

More information

Achieving Composability in NoC-Based MPSoCs Through QoS Management at Software Level

Achieving Composability in NoC-Based MPSoCs Through QoS Management at Software Level Achieving Composability in NoC-Based MPSoCs Through QoS Management at Software Level Everton Carara 1, Gabriel Marchesan Almeida 2, Gilles Sassatelli 2, Fernando Gehm Moraes 1 1 PUCRS, Porto Alegre, Brazil,

More information

Communication Systems Design in Practice

Communication Systems Design in Practice Communication Systems Design in Practice Jacob Kornerup, Ph.D. LabVIEW R&D National Instruments '87 '88 '89 '90 '91 '92 '93 '94 '95 '96 '97 '98 '99 '00 '01 '02 03 04 '05 '06 '07 '08 '09 '10 '11 '12 '13

More information

Predictable Embedded Multiprocessor System Design

Predictable Embedded Multiprocessor System Design Predictable Embedded Multiprocessor System Design Marco Bekooij, Orlando Moreira, Peter Poplavko, Bart Mesman, Milan Pastrnak, Jef van Meerbergen Philips Research Prof. Holstlaan 4 Eindhoven, The Netherlands

More information

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 Trends of 32-bit microcontrollers

More information

Benchmarking of Dynamic Power Management Solutions. Frank Dols CELF Embedded Linux Conference Santa Clara, California (USA) April 19, 2007

Benchmarking of Dynamic Power Management Solutions. Frank Dols CELF Embedded Linux Conference Santa Clara, California (USA) April 19, 2007 Benchmarking of Dynamic Power Management Solutions Frank Dols CELF Embedded Linux Conference Santa Clara, California (USA) April 19, 2007 Why Benchmarking?! From Here to There, 2000whatever Vendor NXP

More information

Embedded Systems. 5. Operating Systems. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 5. Operating Systems. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 5. Operating Systems Lothar Thiele Computer Engineering and Networks Laboratory Embedded Operating Systems 5 2 Embedded Operating System (OS) Why an operating system (OS) at all? Same

More information

Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA. Singh, A.K.; Kumar, A.; Srikanthan, Th.; Ha, Y.

Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA. Singh, A.K.; Kumar, A.; Srikanthan, Th.; Ha, Y. Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA. Singh, A.K.; Kumar, A.; Srikanthan, Th.; Ha, Y. Published in: Proceedings of the 2010 International Conference on Field-programmable

More information

The Design and Implementation of a Low-Latency On-Chip Network

The Design and Implementation of a Low-Latency On-Chip Network The Design and Implementation of a Low-Latency On-Chip Network Robert Mullins 11 th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 24-27 th, 2006, Yokohama, Japan. Introduction Current

More information

Initial Evaluation of a User-Level Device Driver Framework

Initial Evaluation of a User-Level Device Driver Framework Initial Evaluation of a User-Level Device Driver Framework Stefan Götz Karlsruhe University Germany sgoetz@ira.uka.de Kevin Elphinstone National ICT Australia University of New South Wales kevine@cse.unsw.edu.au

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Hardware-Software Codesign

Hardware-Software Codesign Hardware-Software Codesign 8. Performance Estimation Lothar Thiele 8-1 System Design specification system synthesis estimation -compilation intellectual prop. code instruction set HW-synthesis intellectual

More information

An Analysis of Blocking vs Non-Blocking Flow Control in On-Chip Networks

An Analysis of Blocking vs Non-Blocking Flow Control in On-Chip Networks An Analysis of Blocking vs Non-Blocking Flow Control in On-Chip Networks ABSTRACT High end System-on-Chip (SoC) architectures consist of tens of processing engines. These processing engines have varied

More information

Quality-of-Service for a High-Radix Switch

Quality-of-Service for a High-Radix Switch Quality-of-Service for a High-Radix Switch Nilmini Abeyratne, Supreet Jeloka, Yiping Kang, David Blaauw, Ronald G. Dreslinski, Reetuparna Das, and Trevor Mudge University of Michigan 51 st DAC 06/05/2014

More information

MAMPSx: A Design Framework for Rapid Synthesis of Predictable Heterogeneous MPSoCs

MAMPSx: A Design Framework for Rapid Synthesis of Predictable Heterogeneous MPSoCs MAMPSx: A Design Framework for Rapid Synthesis of Predictable Heterogeneous MPSoCs Shakith Fernando, Firew Siyoum, Yifan He, Akash Kumar 2 and Henk Corporaal Department of Electrical Engineering, Eindhoven

More information

An introduction to SDRAM and memory controllers. 5kk73

An introduction to SDRAM and memory controllers. 5kk73 An introduction to SDRAM and memory controllers 5kk73 Presentation Outline (part 1) Introduction to SDRAM Basic SDRAM operation Memory efficiency SDRAM controller architecture Conclusions Followed by part

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Dataflow programming for heterogeneous computing systems

Dataflow programming for heterogeneous computing systems Dataflow programming for heterogeneous computing systems Jeronimo Castrillon Cfaed Chair for Compiler Construction TU Dresden jeronimo.castrillon@tu-dresden.de Tutorial: Algorithmic specification, tools

More information

BlueVisor: A Scalable Real-time Hardware Hypervisor for Many-core Embedded System

BlueVisor: A Scalable Real-time Hardware Hypervisor for Many-core Embedded System BlueVisor: A Scalable eal-time Hardware Hypervisor for Many-core Embedded System Zhe Jiang, Neil C Audsley, Pan Dong eal-time Systems Group Department of Computer Science University of York, United Kingdom

More information

Mapping of Real-time Applications on

Mapping of Real-time Applications on Mapping of Real-time Applications on Network-on-Chip based MPSOCS Paris Mesidis Submitted for the degree of Master of Science (By Research) The University of York, December 2011 Abstract Mapping of real

More information

Precise Continuous Non-Intrusive Measurement-Based Execution Time Estimation. Boris Dreyer, Christian Hochberger, Simon Wegener, Alexander Weiss

Precise Continuous Non-Intrusive Measurement-Based Execution Time Estimation. Boris Dreyer, Christian Hochberger, Simon Wegener, Alexander Weiss Precise Continuous Non-Intrusive Measurement-Based Execution Time Estimation Boris Dreyer, Christian Hochberger, Simon Wegener, Alexander Weiss This work was funded within the project CONIRAS by the German

More information

FlexRay The Hardware View

FlexRay The Hardware View A White Paper Presented by IPextreme FlexRay The Hardware View Stefan Schmechtig / Jens Kjelsbak February 2006 FlexRay is an upcoming networking standard being established to raise the data rate, reliability,

More information

The Delft Reconfigurable VLIW Processor

The Delft Reconfigurable VLIW Processor The Delft Reconfigurable VLIW Processor Stephan Wong, Fakhar Anjam, Roël Seedorf, Anthony Brandon, and Joost Hoozemans Computer Engineering Lab Department of Computer Science and Engineering Faculty of

More information

Embedded Systems. Octav Chipara. Thursday, September 13, 12

Embedded Systems. Octav Chipara. Thursday, September 13, 12 Embedded Systems Octav Chipara Caught between two worlds Embedded systems PC world 2 What are embedded systems? Any device that includes a computer (but you don t think of it as a computer) iphone digital

More information

Building Combinatorial Circuit Using Behavioral Modeling Lab

Building Combinatorial Circuit Using Behavioral Modeling Lab Building Combinatorial Circuit Using Behavioral Modeling Lab Overview: In this lab you will learn how to model a combinatorial circuit using behavioral modeling style of Verilog HDL. You will model a combinatorial

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

GUARANTEED-THROUGHPUT IMPROVEMENT TECHNIQUES FOR CONNECTIONLESS RING NETWORKS

GUARANTEED-THROUGHPUT IMPROVEMENT TECHNIQUES FOR CONNECTIONLESS RING NETWORKS MASTER THESIS GUARANTEED-THROUGHPUT IMPROVEMENT TECHNIQUES FOR CONNECTIONLESS RING NETWORKS Guus Kuiper DEPARTMENT OF ELECTRICAL ENGINEERING, MATHEMATHICS AND COMPUTER SCIENCE COMPUTER ARCHITECTURES FOR

More information

SDSoC: Session 1

SDSoC: Session 1 SDSoC: Session 1 ADAM@ADIUVOENGINEERING.COM What is SDSoC SDSoC is a system optimising compiler which allows us to optimise Zynq PS / PL Zynq MPSoC PS / PL MicroBlaze What does this mean? Following the

More information

15: OS Scheduling and Buffering

15: OS Scheduling and Buffering 15: OS Scheduling and ing Mark Handley Typical Audio Pipeline (sender) Sending Host Audio Device Application A->D Device Kernel App Compress Encode for net RTP ed pending DMA to host (~10ms according to

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information