Department of Electrical and Computer Engineering, University of Maryland

Size: px
Start display at page:

Download "Department of Electrical and Computer Engineering, University of Maryland"

Transcription

1 Department of Electrical and Computer Engineering, University of Maryland

2 OUTLINE Introduction: Problem statement Background Goals Co-processing units generation: Approach and baseline Multi-Dataflow Composer Template Interface Layer: hardware and automatic composition Performance assessment Use-case scenario Results Final remarks and future directions

3 OUTLINE: INTRODUCTION Introduction: Problem statement Background Goals Co-processing units generation: Approach and baseline Multi-Dataflow Composer Template Interface Layer: hardware and automatic composition Performance assessment Use-case scenario Results Final remarks and future directions

4 PROBLEM STATEMENT HIGH PERFORMANCES real time applications: Media players, video calling... UP-TO-DATE SOLUTIONS Support for the last audio/video codecs, file formats... MORE INTEGRATED FEATURES in mobile devices: MP3, Camera, Video, GPS... PORTABILITY LONG BATTERY LIFE Convenient form factor, affordable price...

5 PROBLEM STATEMENT HIGH PERFORMANCES real time applications: Media players, video calling... UP-TO-DATE SOLUTIONS Support for the last audio/video codecs, file formats... MORE INTEGRATED FEATURES in mobile devices: MP3, Camera, Video, GPS... PORTABILITY LONG BATTERY LIFE Convenient form factor, affordable price... DATAFLOW MODEL OF COMPUTATION Modularity and parallelism EASIER INTEGRATION AND FAVOURED RE-USABILITY COARSE-GRAINED RECONFIGURABILITY Flexibility and resource sharing MULTI-APPLICATION PORTABLE DEVICES

6 PROBLEM STATEMENT HIGH PERFORMANCES real time applications: Media players, video calling... UP-TO-DATE SOLUTIONS Support for the last audio/video codecs, file formats... Automated are fundamental to guarantee MP3, Camera, Video, GPS.... Dealing with systems, in particular for, state of the art still lacks in providing a broadly accepted solution. MORE INTEGRATED FEATURES in mobile devices: PORTABILITY LONG BATTERY LIFE Convenient form factor, affordable price... DATAFLOW MODEL OF COMPUTATION Modularity and parallelism EASIER INTEGRATION AND FAVOURED RE-USABILITY COARSE-GRAINED RECONFIGURABILITY Flexibility and resource sharing MULTI-APPLICATION PORTABLE DEVICES

7 Flexibility BACKGROUND: CG RECONFIGURABILITY High flexibility bit-level reconfiguration Slow and memory expensive configuration phase Medium flexibility word-level reconfiguration Fast configuration phase FG CG GPP DSP RP Bit-level Word-level Flexibility ASIC Performance Reconf. Speed Config. Storage

8 BACKGROUND: THE DATAFLOW MOC Directed graph of actors (functional units) Actors exchange tokens (data packets) through dedicated channels actions state Explicit the intrinsic application parallelism. Modularity favours model re-usability/adaptivity. I/O ports number I/O ports depth I/O ports burst of tokens

9 GOALS AND WORK EVOLUTION : automatic deployment of, by means of and strategies. High-level dataflow combination tool, front-end of the Multi-Dataflow Composer tool. Concrete definition of the hardware template and of the dataflow-based mapping strategy. Integration of the complete synthesis flow. Implementation of a coarse-grained multi-standard decoder.

10 Flexibility Technological target GPP DSP ASIP RECONF ASIC Performance

11 Flexibility Technological target GPP DSP ASIP RECONF ASIC Performance Reconfigurable Systems are suitable to cope with flexibility and efficiency. Specialized computing platforms, re-targetable for different functionalities.

12 Flexibility Technological target GPP DSP ASIP RECONF ASIC Performance Reconfigurable Systems are suitable to cope with flexibility and efficiency. Specialized computing platforms, re-targetable for different functionalities. High flexibility bit-level reconfiguration Slow and memory expensive configuration Applications with high control flow FG Bit level CG Word level Flexibility Reconf. Speed Medium flexibility word-level reconfiguration Config. Storage Fast configuration phase Applications with high level of instruction/data parallelism

13 What, Why and How WHAT: Automatic definition of efficient coarse-grained systems. WHY: Enable multi-context systems, saving both resources and power. HOW: Combining the dataflow model of computation and coarse-grained reconfigurable approaches. Implementing early-stage power management strategies.

14 Timeline of the Research Activities High-level dataflow combination tool, front-end of the Multi-Dataflow Composer tool. Concrete definition of the hardware template and of the dataflow-based mapping strategy. Integration of the complete high-level synthesis flow. Design Space Exploration extension. Low-power extension: clock gating support. Implementation of a coarse-grained multi-standard decoder. Co-processor generator: template definition. Low-power extension: power gating support. Co-processor generator: framework and drivers.

15 Reconfigurable Platform Composer Tool Project (L.R. 7/2007, CRP-18324) January 2012 December Co-Processor Generator Multi-Dataflow Composer (MDC) tool TIME TO MARKET SYSTEM COMPLEXITY Dynamic Power Manager Structural Profiler Baseline MDC Tool DESIGN AUTOMATION POWER MANAGEMENT

16 MDC: Basic Approach Dataflow Description Coarse Grained Hardware Platform 1:1

17 MDC: Basic Approach Dataflow Description Coarse Grained Hardware Platform 1:1 Dataflow Descriptions Coarse Grained Reconfigurable Hardware Platform 2:1 APPLICATION # KERNEL # ACTORS # SBOX zoom

18 front-end back-end MDC: Overview N:N N:1 HDL actors library CAL RVC-CAL specifications ORCC front-end IR back-ends multi dataflow Tab MDC HDL comm. protocol

19 front-end back-end MDC: Overview N:N N:1 HDL actors library CAL RVC-CAL specifications ORCC front-end IR back-ends multi dataflow Tab MDC HDL SBox D1 D2 S0 0 1 S1 0 1 S2 0 1 comm. protocol

20 front-end back-end MDC: Overview N:N N:1 HDL actors library CAL RVC-CAL specifications ORCC front-end IR back-ends multi dataflow Tab MDC HDL comm. protocol

21 Complete Synthesis: MDC+Turnus+Xronos front-end CAL RVC-CAL specifications ORCC front-end IR back-ends multi dataflow Tab MDC START: MDC composes the multi-dataflow network

22 Complete Synthesis: MDC+Turnus+Xronos front-end TRACES TURNUS Co- Exploration Framework WEIGHTS FIFO SIZES XRONOS High Level Synthesis HDL Components Library HW CG Reconfigurable Platform (with SBox queues/fanouts) CAL RVC-CAL specifications ORCC front-end IR back-ends multi dataflow Tab MDC STEP 2: Xronos, interacting with Turnus, generates the functional units to be instantiated

23 Complete Synthesis: MDC+Turnus+Xronos front-end back-end TRACES TURNUS Co- Exploration Framework WEIGHTS FIFO SIZES XRONOS High Level Synthesis HDL Components Library HW CG Reconfigurable Platform (with SBox queues/fanouts) CAL RVC-CAL specifications ORCC front-end IR back-ends multi dataflow MDC opt HW CG Reconfigurable Platform (without Sbox queues/fanouts) STEP 3: MDC generates the optimized reconfigurable hardware platform Tab

24 MDC settings LIST OF INPUT SPECIFICATIONS TICK TO GENERATE THE MULTI-DATAFLOW NETWORK TICK TO GENERATE THE MULTI-DATAFLOW HDL

25 Related Publications Publication on journals 1. Sau C, Meloni P, Raffo L, PALUMBO F., Bezati E, Casale-Brunet S, Mattavelli M (2015, In Press) Automated Design Flow for Multi-Functional Dataflow-Based Platforms. Jrnl of Signal Processing Systems 2. PALUMBO F., Carta N, Pani D, Meloni P, Raffo L (2014). The multi-dataflow composer tool: generation of on-the-fly reconfigurable platforms. Jrnl of Real-Time Image Processing Publication on conference proceedings and book chapters (indexed on Scopus) 1. Sau C, Raffo L, PALUMBO F, Bezati E, Casale-Brunet S, Mattavelli M (2014). Automated design flow for coarse-grained reconfigurable platforms: An RVC-CAL multi-standard decoder use-case. XIVth Conf on Embedded Computer Systems: Architectures, Modeling, and Simulation 2. Nezan J, Siret N, Wipliez M, PALUMBO F, Raffo L (2012). Multi-Purpose Systems: A Novel Dataflow-Based Generation and Mapping Strategy. Symp on Circuits and Systems 3. PALUMBO F, Carta N, Raffo L (2011). The Multi-Dataflow Composer tool: a runtime reconfigurable platform composer. Conference on Design and Architectures for Signal and Image Processing 4. PALUMBO F, Pani D, Manca E, Raffo L, Mattavelli M, Roquier G (2010). RVC: a Multi-Decoder CAL Composer tool. Conference on Design and Architectures for Signal and Image Processing

26 Reconfigurable Platform Composer Tool Project (L.R. 7/2007, CRP-18324) January 2012 December Co-Processor Generator Multi-Dataflow Composer (MDC) tool TIME TO MARKET SYSTEM COMPLEXITY Dynamic Power Manager Structural Profiler Baseline MDC Tool DESIGN AUTOMATION POWER MANAGEMENT

27 Profiler and Power Manager A B C D E B F Do we always need to merge?

28 Profiler and Power Manager A B C D E B F E S0 B S1 F A C D Do we always need to merge?

29 Profiler and Power Manager B 3μW A 12μW D 8μW E B F 15μW 3μW 5μW = 52μW C 6μW E 15μW F 5μW S0 2μW B 3μW S1 2μW = 53μW A 12μW D 8μW C 6μW Do we always need to merge?

30 Profiler and Power Manager A B α β γ C D D B D B D Are there any preferable merging sequence?

31 Profiler and Power Manager A B α β γ C D D B D B D D A S0 B S1 S2 C αγβ D Are there any preferable merging sequence?

32 Profiler and Power Manager A B α β γ C D D B D B D D A S0 B S1 S2 C αγβ D longest chain 2 SBoxes Are there any preferable merging sequence?

33 Profiler and Power Manager A A B S0 C α β γ βαγ S4 B S5 C D D B D B D S1 D S2 D S3 S5 D A S0 B S1 S2 C αγβ D longest chain 2 SBoxes Are there any preferable merging sequence?

34 Profiler and Power Manager A A B S0 C α β γ βαγ S4 B S5 C D D B D B D S1 S2 D S3 D S5 longest chain 4 Sboxes D A S0 B S1 S2 C αγβ D longest chain 2 SBoxes Are there any preferable merging sequence?

35 Profiler and Power Manager A A B S0 C α β γ βαγ S4 B S5 C D D B D B D S1 S2 D S3 D S5 longest chain 4 Sboxes D A S0 B S1 S2 C αγβ D longest chain 2 SBoxes Are there any preferable merging sequence?

36 Profiler and Power Manager A B C D E B F Do we always need all the resources active?

37 Profiler and Power Manager A B C D E B F E S0 B S1 F A C D Do we always need all the resources active?

38 Profiler and Power Manager A B C D E B F E S0 B S1 F A C D Do we always need all the resources active?

39 Profiler and Power Manager A B C D E B F E S0 B S1 F A C D Do we always need all the resources active?

40 Profiler and Power Manager A B C D E B F E S0 B S1 F A C D Do we always need all the resources active?

41 Profiler and Power Manager A B C D E B F E S0 B S1 F A C D Do we always need all the resources active?

42 Profiler and Power Manager A B C D E B F E S0 B S1 F A C D Do we always need all the resources active?

43 Profiler and Power Manager MDC tool extensions: Topology Definer addresses the structural issues. Logic Region Definer addresses the dynamic one. TOPOLOGY DEFINER 1 2 LOGIC REGION DEFINER HDL

44 COMBINATIONS GENERATOR MDC TOOL LOW-LEVEL FEEDBACK ANALYSIS PARETO ANALYSIS Structural Flow TOPOLOGY DEFINER 1 2 LOGIC REGION DEFINER HDL DFG TIMING TOP.P DFG AREA TOP.F DFG POWER

45 COMBINATIONS GENERATOR Structural Flow TOPOLOGY DEFINER 1 2 LOGIC REGION DEFINER HDL α D D _ notmer D _ Mer D _ partmer N 2 N! 1 N! C N k 1 N! k 1 N, k! N 2 k 1 k! β γ

46 COMBINATIONS GENERATOR MDC TOOL Structural Flow TOPOLOGY DEFINER 1 2 LOGIC REGION DEFINER HDL DFG DFG DFG 13 networks

47 COMBINATIONS GENERATOR MDC TOOL LOW-LEVEL FEEDBACK ANALYSIS Structural Flow TOPOLOGY DEFINER 1 2 LOGIC REGION DEFINER HDL DFG TIMING DFG AREA DFG POWER DFG = <V,E> V = set of vertices, viєv E = set of edges, eiєe CP k => isolated critical path CP sbox CP static a i p i Area Power max f ( b)*ln( Ns) ( v i ( p i CP k g( b) Sbox1 x2 : f ( b) 0.268* b 59.85, g( b) 0.294* b Sbox2 x1 : f ( b) 0.114* b 87.70, g( b) 0.185* b ) A ) P i i a i p i CP max CP, CP static sbox

48 COMBINATIONS GENERATOR MDC TOOL LOW-LEVEL FEEDBACK ANALYSIS PARETO ANALYSIS Structural Flow TOPOLOGY DEFINER 1 2 LOGIC REGION DEFINER HDL DFG TIMING DFG AREA DFG POWER

49 COMBINATIONS GENERATOR MDC TOOL LOW-LEVEL FEEDBACK ANALYSIS PARETO ANALYSIS Structural Flow TOPOLOGY DEFINER 1 2 LOGIC REGION DEFINER HDL DFG TIMING TOP.P DFG AREA DFG POWER TOP.P: Fully Merged

50 COMBINATIONS GENERATOR MDC TOOL LOW-LEVEL FEEDBACK ANALYSIS PARETO ANALYSIS Structural Flow TOPOLOGY DEFINER 1 2 LOGIC REGION DEFINER HDL DFG TIMING TOP.P DFG AREA TOP.F DFG POWER TOP.F: Partially Merged

51 MDC settings LIST OF INPUT SPECIFICATIONS TICK TO ENABLE THE TOPOLOGY DEFINER. REQUESTED INPUT: Characterized library of components

52 Dynamic Flow TOPOLOGY DEFINER 1 2 LOGIC REGION DEFINER HDL TOP.P: Fully Merged COMPARE & SPLIT CGS = CLOCK GATED SET CHOSE TARGET AND IMPLEMENT

53 MDC settings LIST OF INPUT SPECIFICATIONS TICK TO ENABLE THE LOGIC REGION DEFINER. REQUESTED INPUT: Target technology + max number of cells available

54 Profiler and Power Manager: Results APPLICATION # KERNEL # ACTORS # SBOXES zoom ASIC 90 nm technology Cadence SoC Encounter FREQ OPTIMAL: 5 over 7 merged POWER OPTIMAL: all merged [MS: Merged Specifications] 70% APPLICATION z2p1 z2p1_so z3p2 z3p2_so Total Power [mw] 6,908 1,737 6,036 1,732 [z2p1: performance, Z3p1: power, *so: switch-off]

55 Related Publications Publication on journals 1. Sau C, Carta N, Raffo L, PALUMBO F. (2015, In Press) Early Stage Automatic Strategy for Power-Aware Signal Processing Systems Design. Jrnl of Signal Processing Systems 2. PALUMBO F., Sau C, Raffo L. (2014) Coarse-grained reconfiguration: dataflowbased power management. IET COMPUTERS & DIGITAL TECHNIQUES Publication on conference proceedings and book chapters (indexed on Scopus) 1. Fanni T, Sau C, Meloni P, Raffo L, PALUMBO F (2015, In Press) Power Modelling for Saving Strategies in Coarse-Grained Reconfigurable Systems. To be presented at the PhD Forum of the International Conference on ReConFigurable Computing and FPGA's 2. Fanni T, Sau C, Raffo L, PALUMBO F (2015, In Press) Automated power gating methodology for dataflow-based reconfigurable systems. ACM International Conference on Computing Frontiers 3. PALUMBO F, Sau C, Raffo L (2014) Power-awarness in coarse-grained reconfigurable designs: A dataflow based strategy. IEEE Work. on Signal Processing Systems 4. PALUMBO F, Sau C, Raffo L (2013). DSE and profiling of multi-context coarsegrained reconfigurable systems. Symp. on Image and Signal Processing and Analysis

56 Reconfigurable Platform Composer Tool Project (L.R. 7/2007, CRP-18324) January 2012 December Co-Processor Generator Multi-Dataflow Composer (MDC) tool TIME TO MARKET SYSTEM COMPLEXITY Dynamic Power Manager Structural Profiler Baseline MDC Tool DESIGN AUTOMATION POWER MANAGEMENT

57 Basic problem

58 Basic problem COARSE-GRAINED RECONFIGURABLE DATAPATH (automatically generated)

59 Basic problem COARSE-GRAINED RECONFIGURABLE DATAPATH (automatically generated)

60 Basic problem COARSE-GRAINED RECONFIGURABLE DATAPATH (automatically generated)

61 Basic problem AD-HOC FSM (manually generated) COARSE-GRAINED RECONFIGURABLE DATAPATH (automatically generated)

62 Basic problem HUGE AD-HOC EFFORT!!! FSM (manually generated) [1], [2] COARSE-GRAINED RECONFIGURABLE DATAPATH (automatically generated) [1] F. Palumbo, N. Carta, D. Pani, P. Meloni and L. Raffo, The multi-dataflow composer tool: generation of on-the-fly reconfigurable platforms, Journal of Real-Time Image Processing, vol. 9, no. 1, pp , [2] N. Carta, C. Sau, D. Pani, F. Palumbo and L. Raffo, A Coarse-Grained Reconfigurable Approach for Low-Power Spike Sorting Architectures, IEEE/EMBS Conference on Neural Engineering, 2013.

63 front-end back-end MDC Co-Processing Unit Generator TRACES TURNUS Co- Exploration Framework WEIGHTS FIFO SIZES XRONOS High Level Synthesis HDL Components Library front-end Reconfigurable Platform CAL RVC-CAL specifications ORCC IR back-ends multi dataflow MDC STEP 1: High level specification composition + Reconfigurable datapath generation

64 front-end back-end MDC Co-Processing Unit Generator TRACES TURNUS Co- Exploration Framework WEIGHTS FIFO SIZES XRONOS High Level Synthesis HDL Components Library front-end Reconfigurable Platform CAL RVC-CAL specifications ORCC IR back-ends multi dataflow MDC template TEMPLATE INTERFACE LAYER Drivers STEP 2: Coprocessor hardware-software specification

65 front-end back-end Coprocessor Deployment MDC Co-Processing Unit Generator TRACES TURNUS Co- Exploration Framework WEIGHTS FIFO SIZES XRONOS High Level Synthesis HDL Components Library IP front-end Reconfigurable Platform CAL RVC-CAL specifications ORCC IR back-ends multi dataflow MDC template Drivers TEMPLATE INTERFACE LAYER Drivers STEP 3: IP deployment

66 Template Interface Layer Memory-mapped loosely coupled coprocessor: accessible through the system bus as a memory-mapped IP; Stream-based tightly coupled coprocessor: accessible through different full duplex links, one for each I/O port. In both cases the Template Interface Layer: integrates a bank of configuration registers, to store the desired configuration; One (or more) front-end(s), to load data into the reconfigurable computing core; one (or more) back-end(s), to read the computed data from the reconfigurable computing core.

67 MDC settings LIST OF INPUT SPECIFICATIONS TICK TO ENABLE COPROCESSOR GENERATION. REQUESTED INPUT: TIL to be generated.

68 Related Publications Publication on conference proceedings and book chapters (indexed on Scopus) 1. Sau C, Fanni L, Meloni P, Raffo L, PALUMBO F (2015, In Press) Reconfigurable Coprocessors Synthesis in the MPEG-RVC Domain. To be presented at the International Conference on ReConFigurable Computing and FPGA's 2. Sau C, PALUMBO F (2014) Automatic Generation of Dataflow-Based Reconfigurable Co-processing Units. Conf on Design and Architectures for Signal and Image Processing

69 The MDC has been developed within the MPEG-RVC domain to automatically deploy flexible and adaptive reconfigurable platforms Co-Processor Generator TO DOs Power Gating Power Modelling TIME TO MARKET SYSTEM COMPLEXITY Dynamic Power Manager Structural Profiler Baseline MDC Tool Multi-Accelerator DESIGN AUTOMATION Dynamic Partial Reconfiguration POWER MANAGEMENT Partitioning

70 Opportunities Journal of Electrical and Computer Engineering Special Issue on Application-Driven Design of Parallel Embedded Systems Lead Guest Editor Paolo Meloni, Universita degli Studi di Cagliari, Cagliari, Italy Guest Editors Maxime Pelcat, INSA-Rennes, Rennes, France Francesca Palumbo, University of Sassari, Sassari, Italy 29 th January 2016

71 Opportunities LP-EMS16 2 nd Workshop on Design of Low Power Embedded Systems co-located ACM International Conference on Computing Frontiers Como (IT), May 2016 Workshop Organizers Francesca Palumbo, Università di Sassari, PolComIng, fpalumbo@uniss.it Maxime Pelcat, INSA de Rennes, IETR, maxime.pelcat@insa-rennes.fr Daniel Menard, INSA de Rennes, IETR, daniel.menard@insa-rennes.fr 2015 Program Committee (To be confirmed ) Jani Boutellier, University of Oulu Pekka Jääskeläinen, Tampere University of Technology (TUT) Eduardo Juarez, Universidad Politecnica de Madrid (UPM) Sebastien Lafond, Åbo Akademi University 1 st week Jean Francois Nezan, INSA Rennes, IETR laboratory of Fernando Pescador, Universidad Politecnica de Madrid (UPM) February Muhammad Shafique, Karlsruhe Institute of Technology (KIT)

72 Reconfigurable Platform Composer Tool Project (L.R. 7/2007, CRP-18324) January 2012 December

Outline. Embedded Systems and Rationale. Background. Multi-Dataflow Composer Tool: Features and Achievements. Summary, Coming Soon and Whish List

Outline. Embedded Systems and Rationale. Background. Multi-Dataflow Composer Tool: Features and Achievements. Summary, Coming Soon and Whish List Outline Embedded Systems and Rationale Systems Complexity Hardware-Software Co-Design Design Flow Automation Power Management Background Dataflow Model of Computation Reconfigurable Video Coding Technologies

More information

Automated Design Flow for Coarse-Grained Reconfigurable Platforms: an RVC-CAL Multi-Standard Decoder Use-Case

Automated Design Flow for Coarse-Grained Reconfigurable Platforms: an RVC-CAL Multi-Standard Decoder Use-Case XIV International Conference on Embedded Computer and Systems: Architectures, MOdeling and Simulation SAMOS XIV - 2014 July 14 th - Samos Island (Greece) Carlo Sau, Luigi Raffo DIEE Università degli Studi

More information

Research Article Modelling and Automated Implementation of Optimal Power Saving Strategies in Coarse-Grained Reconfigurable Architectures

Research Article Modelling and Automated Implementation of Optimal Power Saving Strategies in Coarse-Grained Reconfigurable Architectures Journal of Electrical and Computer Engineering Volume 2016, Article ID 4237350, 27 pages http://dx.doi.org/10.1155/2016/4237350 Research Article Modelling and Automated Implementation of Optimal Power

More information

A Methodology for Profiling and Partitioning Stream Programs on Many-core Architectures

A Methodology for Profiling and Partitioning Stream Programs on Many-core Architectures Procedia Computer Science Volume 51, 2015, Pages 2962 2966 ICCS 2015 International Conference On Computational Science A Methodology for Profiling and Partitioning Stream Programs on Many-core Architectures

More information

Reconfigurable Platform Composer Tool Project

Reconfigurable Platform Composer Tool Project 48th nnual Meeting ssociazione Gruppo Italiano di lettronica Reconfigurable Platform omposer Tool Project rancesca Palumbo University of assari, PolomIng Information ngineering Group arlo au, Tiziana anni,

More information

Orcc: multimedia development made easy

Orcc: multimedia development made easy Orcc: multimedia development made easy Hervé Yviquel, Antoine Lorence, Khaled Jerbi, Gildas Cocherel, Alexandre Sanchez, Mickaël Raulet To cite this version: Hervé Yviquel, Antoine Lorence, Khaled Jerbi,

More information

Computing in the age of parallelism: Challenges and opportunities

Computing in the age of parallelism: Challenges and opportunities Computing in the age of parallelism: Challenges and opportunities Jorn W. Janneck jwj@cs.lth.se Computer Science Dept. Lund University Multicore Day, 23 Sep 2013 why we are here Original data collected

More information

High Data Rate Fully Flexible SDR Modem

High Data Rate Fully Flexible SDR Modem High Data Rate Fully Flexible SDR Modem Advanced configurable architecture & development methodology KASPERSKI F., PIERRELEE O., DOTTO F., SARLOTTE M. THALES Communication 160 bd de Valmy, 92704 Colombes,

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume VII /Issue 2 / OCT 2016

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume VII /Issue 2 / OCT 2016 NEW VLSI ARCHITECTURE FOR EXPLOITING CARRY- SAVE ARITHMETIC USING VERILOG HDL B.Anusha 1 Ch.Ramesh 2 shivajeehul@gmail.com 1 chintala12271@rediffmail.com 2 1 PG Scholar, Dept of ECE, Ganapathy Engineering

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

Automated RTR Temporal Partitioning for Reconfigurable Embedded Real-Time System Design

Automated RTR Temporal Partitioning for Reconfigurable Embedded Real-Time System Design Automated RTR Temporal Partitioning for Reconfigurable Embedded Real-Time System Design C. Tanougast, Y. Berviller, P. Brunet and S. Weber L. I. E. N. Laboratoire d Instrumentation Electronique de Nancy

More information

An LLVM-based decoder for MPEG Reconfigurable Video Coding

An LLVM-based decoder for MPEG Reconfigurable Video Coding An LLVM-based decoder for MPEG Reconfigurable Video Coding Jérôme Gorin, Matthieu Wipliez, Jonathan Piat, Françoise Préteux, Mickaël Raulet To cite this version: Jérôme Gorin, Matthieu Wipliez, Jonathan

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

Custom Instruction Generation Using Temporal Partitioning Techniques for a Reconfigurable Functional Unit

Custom Instruction Generation Using Temporal Partitioning Techniques for a Reconfigurable Functional Unit Custom Instruction Generation Using Temporal Partitioning Techniques for a Reconfigurable Functional Unit Farhad Mehdipour, Hamid Noori, Morteza Saheb Zamani, Kazuaki Murakami, Koji Inoue, Mehdi Sedighi

More information

direct hardware mapping of cnns on fpga-based smart cameras

direct hardware mapping of cnns on fpga-based smart cameras direct hardware mapping of cnns on fpga-based smart cameras Workshop on Architecture of Smart Cameras Kamel ABDELOUAHAB, Francois BERRY, Maxime PELCAT, Jocelyn SEROT, Jean-Charles QUINTON Cordoba, June

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Politecnico di Milano & EPFL A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Vincenzo Rana, Ivan Beretta, Donatella Sciuto Donatella Sciuto sciuto@elet.polimi.it Introduction

More information

Proceedings Chapter. Reference. Efficient scheduling policies for dynamic data flow programs executed on multi-core. MICHALSKA, Malgorzata, et al.

Proceedings Chapter. Reference. Efficient scheduling policies for dynamic data flow programs executed on multi-core. MICHALSKA, Malgorzata, et al. Proceedings Chapter Efficient scheduling policies for dynamic data flow programs executed on multi-core MICHALSKA, Malgorzata, et al. Abstract An important challenge of dataflow program implementations

More information

Exploring the Design Space of HEVC Inverse Transforms with Dataflow Programming

Exploring the Design Space of HEVC Inverse Transforms with Dataflow Programming Indonesian Journal of Electrical Engineering and Computer Science Vol. 6, No. 1, April 2017, pp. 104 ~ 109 DOI: 10.11591/ijeecs.v6.i1.pp104-109 104 Exploring the Design Space of HEVC Inverse Transforms

More information

Reliable Embedded Multimedia Systems?

Reliable Embedded Multimedia Systems? 2 Overview Reliable Embedded Multimedia Systems? Twan Basten Joint work with Marc Geilen, AmirHossein Ghamarian, Hamid Shojaei, Sander Stuijk, Bart Theelen, and others Embedded Multi-media Analysis of

More information

Performance Improvements of Microprocessor Platforms with a Coarse-Grained Reconfigurable Data-Path

Performance Improvements of Microprocessor Platforms with a Coarse-Grained Reconfigurable Data-Path Performance Improvements of Microprocessor Platforms with a Coarse-Grained Reconfigurable Data-Path MICHALIS D. GALANIS 1, GREGORY DIMITROULAKOS 2, COSTAS E. GOUTIS 3 VLSI Design Laboratory, Electrical

More information

PREESM: A Dataflow-Based Rapid Prototyping Framework for Simplifying Multicore DSP Programming

PREESM: A Dataflow-Based Rapid Prototyping Framework for Simplifying Multicore DSP Programming PREESM: A Dataflow-Based Rapid Prototyping Framework for Simplifying Multicore DSP Programming Maxime Pelcat, Karol Desnos, Julien Heulot Clément Guy, Jean-François Nezan, Slaheddine Aridhi EDERC 2014

More information

generation for the MPEG Reconfigurable Video Coding framework: From CAL actions to C functions.

generation for the MPEG Reconfigurable Video Coding framework: From CAL actions to C functions. Code generation for the MPEG Reconfigurable Video Coding framework: From CAL actions to C functions Matthieu Wipliez, Ghislain Roquier, Mickael Raulet, Jean François Nezan, Olivier Déforges To cite this

More information

Performance Imrovement of a Navigataion System Using Partial Reconfiguration

Performance Imrovement of a Navigataion System Using Partial Reconfiguration Performance Imrovement of a Navigataion System Using Partial Reconfiguration S.S.Shriramwar 1, Dr. N.K.Choudhari 2 1 Priyadarshini College of Engineering, R.T.M. Nagpur Unversity,Nagpur, sshriramwar@yahoo.com

More information

Coarse Grain Reconfigurable Arrays are Signal Processing Engines!

Coarse Grain Reconfigurable Arrays are Signal Processing Engines! Coarse Grain Reconfigurable Arrays are Signal Processing Engines! Advanced Topics in Telecommunications, Algorithms and Implementation Platforms for Wireless Communications, TLT-9707 Waqar Hussain Researcher

More information

NISC Application and Advantages

NISC Application and Advantages NISC Application and Advantages Daniel D. Gajski Mehrdad Reshadi Center for Embedded Computer Systems University of California, Irvine Irvine, CA 92697-3425, USA {gajski, reshadi}@cecs.uci.edu CECS Technical

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

Efficient scheduling policies for dynamic dataflow programs executed on multi-core

Efficient scheduling policies for dynamic dataflow programs executed on multi-core Efficient scheduling policies for dynamic dataflow programs executed on multi-core Ma lgorzata Michalska 1, Nicolas Zufferey 2, Jani Boutellier 3, Endri Bezati 1, and Marco Mattavelli 1 1 EPFL STI-SCI-MM,

More information

HIGH LEVEL SYNTHESIS OF SMITH-WATERMAN DATAFLOW IMPLEMENTATIONS

HIGH LEVEL SYNTHESIS OF SMITH-WATERMAN DATAFLOW IMPLEMENTATIONS HIGH LEVEL SYNTHESIS OF SMITH-WATERMAN DATAFLOW IMPLEMENTATIONS S. Casale-Brunet 1, E. Bezati 1, M. Mattavelli 2 1 Swiss Institute of Bioinformatics, Lausanne, Switzerland 2 École Polytechnique Fédérale

More information

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Zhining Huang, Sharad Malik Electrical Engineering Department

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 10 Task Partitioning Sources: Prof. Margarida Jacome, UT Austin Prof. Lothar Thiele, ETH Zürich Andreas Gerstlauer Electrical and Computer Engineering University

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

Hardware-Software Codesign

Hardware-Software Codesign Hardware-Software Codesign 8. Performance Estimation Lothar Thiele 8-1 System Design specification system synthesis estimation -compilation intellectual prop. code instruction set HW-synthesis intellectual

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

Functional modeling style for efficient SW code generation of video codec applications

Functional modeling style for efficient SW code generation of video codec applications Functional modeling style for efficient SW code generation of video codec applications Sang-Il Han 1)2) Soo-Ik Chae 1) Ahmed. A. Jerraya 2) SD Group 1) SLS Group 2) Seoul National Univ., Korea TIMA laboratory,

More information

RTL Power Estimation and Optimization

RTL Power Estimation and Optimization Power Modeling Issues RTL Power Estimation and Optimization Model granularity Model parameters Model semantics Model storage Model construction Politecnico di Torino Dip. di Automatica e Informatica RTL

More information

Low energy and High-performance Embedded Systems Design and Reconfigurable Architectures

Low energy and High-performance Embedded Systems Design and Reconfigurable Architectures Low energy and High-performance Embedded Systems Design and Reconfigurable Architectures Ass. Professor Dimitrios Soudris School of Electrical and Computer Eng., National Technical Univ. of Athens, Greece

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Media Instructions, Coprocessors, and Hardware Accelerators. Overview

Media Instructions, Coprocessors, and Hardware Accelerators. Overview Media Instructions, Coprocessors, and Hardware Accelerators Steven P. Smith SoC Design EE382V Fall 2009 EE382 System-on-Chip Design Coprocessors, etc. SPS-1 University of Texas at Austin Overview SoCs

More information

Interfacing a High Speed Crypto Accelerator to an Embedded CPU

Interfacing a High Speed Crypto Accelerator to an Embedded CPU Interfacing a High Speed Crypto Accelerator to an Embedded CPU Alireza Hodjat ahodjat @ee.ucla.edu Electrical Engineering Department University of California, Los Angeles Ingrid Verbauwhede ingrid @ee.ucla.edu

More information

Synthesizing hardware from dataflow programs: An MPEG-4 simple profile decoder case study

Synthesizing hardware from dataflow programs: An MPEG-4 simple profile decoder case study Synthesizing hardware from dataflow programs: An MPEG-4 simple profile decoder case study Jörn W. Janneck, Ian D. Miller, David B. Parlour, Ghislain Roquier, Matthieu Wipliez, Mickael Raulet To cite this

More information

Co-synthesis and Accelerator based Embedded System Design

Co-synthesis and Accelerator based Embedded System Design Co-synthesis and Accelerator based Embedded System Design COE838: Embedded Computer System http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Lecture 7: Introduction to Co-synthesis Algorithms

Lecture 7: Introduction to Co-synthesis Algorithms Design & Co-design of Embedded Systems Lecture 7: Introduction to Co-synthesis Algorithms Sharif University of Technology Computer Engineering Dept. Winter-Spring 2008 Mehdi Modarressi Topics for today

More information

High-level dataflow design of signal processing systems for reconfigurable and multicore heterogeneous platforms

High-level dataflow design of signal processing systems for reconfigurable and multicore heterogeneous platforms J Real-Time Image Proc (2014) 9:251 262 DOI 10.1007/s11554-013-0326-5 SPECIAL ISSUE High-level dataflow design of signal processing systems for reconfigurable and multicore heterogeneous platforms Endri

More information

Throughput-optimizing Compilation of Dataflow Applications for Multi-Cores using Quasi-Static Scheduling

Throughput-optimizing Compilation of Dataflow Applications for Multi-Cores using Quasi-Static Scheduling Throughput-optimizing Compilation of Dataflow Applications for Multi-Cores using Quasi-Static Scheduling Tobias Schwarzer 1, Joachim Falk 1, Michael Glaß 1, Jürgen Teich 1, Christian Zebelein 2, Christian

More information

In Proceedings of the Conference on Design and Architectures for Signal and Image Processing, Edinburgh, Scotland, October 2010.

In Proceedings of the Conference on Design and Architectures for Signal and Image Processing, Edinburgh, Scotland, October 2010. In Proceedings of the Conference on Design and Architectures for Signal and Image Processing, Edinburgh, Scotland, October 2010. AUTOMATED GENERATION OF AN EFFICIENT MPEG-4 RECONFIGURABLE VIDEO CODING

More information

Energy Optimization of FPGA-Based Stream- Oriented Computing with Power Gating

Energy Optimization of FPGA-Based Stream- Oriented Computing with Power Gating Energy Optimization of FPGA-Based Stream- Oriented Computing with Power Gating Mohammad Hosseinabady and Jose Luis Nunez-Yanez Department of Electrical and Electronic Engineering University of Bristol,

More information

RVC-CAL DATAFLOW IMPLEMENTATIONS OF MPEG AVC/H.264 CABAC DECODING. 2 IETR/INSA Rennes F-35708, Rennes, France

RVC-CAL DATAFLOW IMPLEMENTATIONS OF MPEG AVC/H.264 CABAC DECODING. 2 IETR/INSA Rennes F-35708, Rennes, France RVC-CAL DATAFLOW IMPLEMENTATIONS OF MPEG AVC/H.264 CABAC DECODING Endri Bezati 1, Marco Mattavelli 1, Mickaël Raulet 2 1 Ecole Polytechnique Fédérale de Lausanne, CH-1015 Lausanne, Switzerland {firstname.lastname}@epfl.ch

More information

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki An Ultra High Performance Scalable DSP Family for Multimedia Hot Chips 17 August 2005 Stanford, CA Erik Machnicki Media Processing Challenges Increasing performance requirements Need for flexibility &

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

FPGA implementations of Histograms of Oriented Gradients in FPGA

FPGA implementations of Histograms of Oriented Gradients in FPGA FPGA implementations of Histograms of Oriented Gradients in FPGA C. Bourrasset 1, L. Maggiani 2,3, C. Salvadori 2,3, J. Sérot 1, P. Pagano 2,3 and F. Berry 1 1 Institut Pascal- D.R.E.A.M - Aubière, France

More information

A Lost Cycles Analysis for Performance Prediction using High-Level Synthesis

A Lost Cycles Analysis for Performance Prediction using High-Level Synthesis A Lost Cycles Analysis for Performance Prediction using High-Level Synthesis Bruno da Silva, Jan Lemeire, An Braeken, and Abdellah Touhafi Vrije Universiteit Brussel (VUB), INDI and ETRO department, Brussels,

More information

CARUSO Project Goals and Principal Approach

CARUSO Project Goals and Principal Approach CARUSO Project Goals and Principal Approach Uwe Brinkschulte *, Jürgen Becker #, Klaus Dorfmüller-Ulhaas +, Ralf König #, Sascha Uhrig +, and Theo Ungerer + * Department of Computer Science, University

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Software Code Generation for the RVC-CAL Language

Software Code Generation for the RVC-CAL Language DOI 10.1007/s11265-009-0390-z Software Code Generation for the RVC-CAL Language Matthieu Wipliez Ghislain Roquier Jean-François Nezan Received: 23 January 2009 / Revised: 20 April 2009 / Accepted: 8 June

More information

APPLICATION NOTE : INTEGRATION OF MPEG-4 VIDEO TOOLS ONTO SUNDANCE MULTI-DSP ARCHITECTURES. J.F. Nezan, M. Raulet, O. Déforges

APPLICATION NOTE : INTEGRATION OF MPEG-4 VIDEO TOOLS ONTO SUNDANCE MULTI-DSP ARCHITECTURES. J.F. Nezan, M. Raulet, O. Déforges APPLICATION NOTE : INTEGRATION OF MPEG-4 VIDEO TOOLS ONTO SUNDANCE MULTI-DSP ARCHITECTURES J.F. Nezan, M. Raulet, O. Déforges IETR (CNRS UMR 6164) INSA Rennes 20 av des Buttes de Coësmes 35043 Rennes Cedex

More information

2D/3D Graphics Accelerator for Mobile Multimedia Applications. Ramchan Woo, Sohn, Seong-Jun Song, Young-Don

2D/3D Graphics Accelerator for Mobile Multimedia Applications. Ramchan Woo, Sohn, Seong-Jun Song, Young-Don RAMP-IV: A Low-Power and High-Performance 2D/3D Graphics Accelerator for Mobile Multimedia Applications Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae,, and Hoi-Jun Yoo oratory Dept. of EECS,

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

PREESM: A Dataflow-Based Rapid Prototyping Framework for Simplifying Multicore DSP Programming

PREESM: A Dataflow-Based Rapid Prototyping Framework for Simplifying Multicore DSP Programming PREESM: A Dataflow-Based Rapid Prototyping Framework for Simplifying Multicore DSP Programming Maxime Pelcat, Karol Desnos, Julien Heulot, Clément Guy, Jean François Nezan, Slaheddine Aridhi To cite this

More information

Accelerating DSP Applications in Embedded Systems with a Coprocessor Data-Path

Accelerating DSP Applications in Embedded Systems with a Coprocessor Data-Path Accelerating DSP Applications in Embedded Systems with a Coprocessor Data-Path Michalis D. Galanis, Gregory Dimitroulakos, and Costas E. Goutis VLSI Design Laboratory, Electrical and Computer Engineering

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Hardware Accelerator for Biological Sequence Alignment using Coreworks Processing Engine

Hardware Accelerator for Biological Sequence Alignment using Coreworks Processing Engine Hardware Accelerator for Biological Sequence Alignment using Coreworks Processing Engine José Cabrita, Gilberto Rodrigues, Paulo Flores INESC-ID / IST, Technical University of Lisbon jpmcabrita@gmail.com,

More information

Heuristic Optimisation Methods for System Partitioning in HW/SW Co-Design

Heuristic Optimisation Methods for System Partitioning in HW/SW Co-Design Heuristic Optimisation Methods for System Partitioning in HW/SW Co-Design Univ.Prof. Dipl.-Ing. Dr.techn. Markus Rupp Vienna University of Technology Institute of Communications and Radio-Frequency Engineering

More information

Just-in-time adaptive decoder engine: a universal video decoder based on MPEG RVC

Just-in-time adaptive decoder engine: a universal video decoder based on MPEG RVC Just-in-time adaptive decoder engine: a universal video decoder based on MPEG RVC Jérôme Gorin, Hervé Yviquel, Françoise Prêteux, Mickaël Raulet To cite this version: Jérôme Gorin, Hervé Yviquel, Françoise

More information

A unified hardware/software co-synthesis solution for signal processing systems

A unified hardware/software co-synthesis solution for signal processing systems A unified hardware/software co-synthesis solution for signal processing systems Endri Bezati, Hervé Yviquel, Mickaël Raulet, Marco Mattavelli To cite this version: Endri Bezati, Hervé Yviquel, Mickaël

More information

Using the NCTUns 2.0 Network Simulator/Emulator to Facilitate Network Researches

Using the NCTUns 2.0 Network Simulator/Emulator to Facilitate Network Researches Using the NCTUns 2.0 Network Simulator/Emulator to Facilitate Network Researches Prof. Shie-Yuan Wang Department of Computer Science National Chiao Tung University Network and System Laboratory Introduction

More information

Buffer Dimensioning for Throughput Improvement of Dynamic Dataflow Signal Processing Applications on Multi-Core Platforms

Buffer Dimensioning for Throughput Improvement of Dynamic Dataflow Signal Processing Applications on Multi-Core Platforms Buffer Dimensioning for Throughput Improvement of Dynamic Dataflow Signal Processing Applications on Multi-Core Platforms Małgorzata Michalska, Endri Bezati, Simone Casale-Brunet, Marco Mattavelli EPFL

More information

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS Waqas Akram, Cirrus Logic Inc., Austin, Texas Abstract: This project is concerned with finding ways to synthesize hardware-efficient digital filters given

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design Ahmed Amine JERRAYA Laboratoire TIMA, 46 Avenue Félix Viallet, 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr Abstract. An embedded system is an application

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

MPEG RVC AVC Baseline Encoder Based on a Novel Iterative Methodology

MPEG RVC AVC Baseline Encoder Based on a Novel Iterative Methodology MPEG RVC AVC Baseline Encoder Based on a Novel Iterative Methodology Hussein Aman-Allah, Ehab Hanna, Karim Maarouf, Ihab Amer Laboratory of Microelectronic Systems (GR-LSM), EPFL CH-1015 Lausanne, Switzerland

More information

Reconfigurable Cell Array for DSP Applications

Reconfigurable Cell Array for DSP Applications Outline econfigurable Cell Array for DSP Applications Chenxin Zhang Department of Electrical and Information Technology Lund University, Sweden econfigurable computing Coarse-grained reconfigurable cell

More information

QUKU: A Fast Run Time Reconfigurable Platform for Image Edge Detection

QUKU: A Fast Run Time Reconfigurable Platform for Image Edge Detection QUKU: A Fast Run Time Reconfigurable Platform for Image Edge Detection Sunil Shukla 1,2, Neil W. Bergmann 1, Jürgen Becker 2 1 ITEE, University of Queensland, Brisbane, QLD 4072, Australia {sunil, n.bergmann}@itee.uq.edu.au

More information

Dynamic Dataflow. Seminar on embedded systems

Dynamic Dataflow. Seminar on embedded systems Dynamic Dataflow Seminar on embedded systems Dataflow Dataflow programming, Dataflow architecture Dataflow Models of Computation Computation is divided into nodes that can be executed concurrently Dataflow

More information

ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology

ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology 1 ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology Mikkel B. Stensgaard and Jens Sparsø Technical University of Denmark Technical University of Denmark Outline 2 Motivation ReNoC Basic

More information

Instruction Encoding Synthesis For Architecture Exploration

Instruction Encoding Synthesis For Architecture Exploration Instruction Encoding Synthesis For Architecture Exploration "Compiler Optimizations for Code Density of Variable Length Instructions", "Heuristics for Greedy Transport Triggered Architecture Interconnect

More information

Cache Aware Optimization of Stream Programs

Cache Aware Optimization of Stream Programs Cache Aware Optimization of Stream Programs Janis Sermulins, William Thies, Rodric Rabbah and Saman Amarasinghe LCTES Chicago, June 2005 Streaming Computing Is Everywhere! Prevalent computing domain with

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information

HW/SW Cyber-System Co-Design and Modeling

HW/SW Cyber-System Co-Design and Modeling HW/SW Cyber-System Co-Design and Modeling Julio OLIVEIRA Karol DESNOS Karol Desnos (IETR) & Julio Oliveira (TNO) 1 Introduction Who are we? Julio de OLIVEIRA Position: TNO - Researcher & innovation scientist

More information

Systems Development Tools for Embedded Systems and SOC s

Systems Development Tools for Embedded Systems and SOC s Systems Development Tools for Embedded Systems and SOC s Óscar R. Ribeiro Departamento de Informática, Universidade do Minho 4710 057 Braga, Portugal oscar.rafael@di.uminho.pt Abstract. A new approach

More information

Remote and Partial Reconfiguration of FPGAs: Tools and Trends

Remote and Partial Reconfiguration of FPGAs: Tools and Trends Remote and Partial Reconfiguration of FPGAs: Tools and Trends Daniel Mesquita, Fernando Moraes, José palma, Leandro Moller, Ney Calazans Laboratoire de Informatique, de Robotique et de Microéletronique

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

Reconfigurable Architectures for Real World Applications

Reconfigurable Architectures for Real World Applications Reconfigurable Architectures for Real World Applications cei@upm.es José Andrés Otero Marnotes Universidad Politécnica de Madrid Reconfigurable Devices TWO CHOICES Custom Solutions Commercial FPGAs Specific

More information

Tutorial: PREESM - Dataflow Programming of Multicore DSPs

Tutorial: PREESM - Dataflow Programming of Multicore DSPs Tutorial: PREESM - Dataflow Programming of Multicore DSPs Karol Desnos, Clément Guy, Maxime Pelcat EDERC 2014 Conference, Milan, September 11 th 1 PREESM http://preesm.sourceforge.net/website Eclipse-based

More information

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Doug Johnson, Applications Consultant Chris Eddington, Technical Marketing Synopsys 2013 1 Synopsys, Inc. 700 E. Middlefield Road Mountain

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

Multi processor systems with configurable hardware acceleration

Multi processor systems with configurable hardware acceleration Multi processor systems with configurable hardware acceleration Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline Motivations

More information

AVSynDEx Methodology For Fast Prototyping of Multi-C6x DSP Architectures

AVSynDEx Methodology For Fast Prototyping of Multi-C6x DSP Architectures AVSynDEx Methodology For Fast Prototyping of Multi-C6x DSP Architectures Jean-François NEZAN, Virginie FRESSE, Olivier DEFORGES, Michael RAULET CNRS UMR IETR (Institut en Electronique et Télecommunications

More information

Synthesizing Hardware from Dataflow Programs

Synthesizing Hardware from Dataflow Programs Synthesizing Hardware from Dataflow Programs Jörn W. Janneck, Ian D. Miller, David B. Parlour, Ghislain Roquier, Matthieu Wipliez, Mickaël Raulet To cite this version: Jörn W. Janneck, Ian D. Miller, David

More information

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Presenter: Course: EEC 289Q: Reconfigurable Computing Course Instructor: Professor Soheil Ghiasi Outline Overview of M.I.T. Raw processor

More information

Design of an Embedded Low Complexity Image Coder using CAL language

Design of an Embedded Low Complexity Image Coder using CAL language Author manuscript, published in "Conference on Design and Architectures for Signal and Image Processing (DASIP) 2009, Sophia Antipolis : France (2009)" Design of an Embedded Low Complexity Image Coder

More information

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain Massively Parallel Computing on Silicon: SIMD Implementations V.M.. Brea Univ. of Santiago de Compostela Spain GOAL Give an overview on the state-of of-the- art of Digital on-chip CMOS SIMD Solutions,

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

A Data-Centric Approach for Modular Assurance Abstract. Keywords: 1 Introduction

A Data-Centric Approach for Modular Assurance Abstract. Keywords: 1 Introduction A Data-Centric Approach for Modular Assurance Gabriela F. Ciocarlie, Heidi Schubert and Rose Wahlin Real-Time Innovations, Inc. {gabriela, heidi, rose}@rti.com Abstract. A mixed-criticality system is one

More information

Multi-Level Computing Architectures (MLCA)

Multi-Level Computing Architectures (MLCA) Multi-Level Computing Architectures (MLCA) Faraydon Karim ST US-Fellow Emerging Architectures Advanced System Technology STMicroelectronics Outline MLCA What s the problem Traditional solutions: VLIW,

More information