High-speed Serial Interface

Size: px
Start display at page:

Download "High-speed Serial Interface"

Transcription

1 High-speed Serial Interface Lect. 8 SERES 1 High-Speed Circuits and Systems Lab., Yonsei University

2 Block diagram Where are we today? Serializer Tx river Channel Rx Equalizer Sampler eserializer PLL Clock Recovery Tx Rx 2 High-Speed Circuits and Systems Lab., Yonsei University

3 SERES HSI is also called SERES SER for serializer, and ES for deserializer Core data rate is much lower than interface igital signal processing usually employs parallel architecture. HSI requires data-rate converting unit Serializer: Low-speed parallel data High-speed serial data eserializer: High-speed serial data Low-speed parallel data 3 High-Speed Circuits and Systems Lab., Yonsei University

4 FF-based Serializer 4:1 Parallel #3 Parallel #2 Parallel #1 Parallel #0 Parallel Clock Sample#3 Sample#2 Sample#1 Sample#0 S3 S2 S1 Serial Serial Clock Load Signal 4 High-Speed Circuits and Systems Lab., Yonsei University

5 FF-based Serializer 4:1 Parallel Clock Sample # Sample # Sample # Sample # Load Signal Serial Clock S S S Serial High-Speed Circuits and Systems Lab., Yonsei University

6 MUX-based Serializer 4:1 Parallel data #0 P0 SEL0 Parallel CLK #0 Parallel data #1 P1 SEL1 Parallel CLK #1 Serial Parallel data #2 P2 SEL2 Parallel CLK #2 Parallel data #3 P3 SEL3 Serial CLK Parallel CLK #3 SEL0~3 6 High-Speed Circuits and Systems Lab., Yonsei University

7 MUX-based Serializer 4:1 Parallel CLK#0 Parallel CLK#1 Parallel CLK#2 Parallel CLK#3 P P P P SEL0 SEL1 SEL2 SEL3 Serial High-Speed Circuits and Systems Lab., Yonsei University

8 FF-based eserializer 1:4 Serial S3 S2 S1 S0 Serial Clock Parallel Clock Parallel #3 Parallel #2 Parallel #1 Parallel #0 8 High-Speed Circuits and Systems Lab., Yonsei University

9 FF-based eserializer 1:4 S Serial Clock S S S S Parallel Clock Parallel data # Parallel data # Parallel data # Parallel data # High-Speed Circuits and Systems Lab., Yonsei University

10 MUX-based eserializer 1:4 P0 Parallel data #0 Parallel CLK #0 P1 Parallel data #1 Serial S0 Parallel CLK #1 P2 Parallel data #2 Parallel CLK #2 Serial CLK P3 Parallel data #3 Parallel CLK #3 No selection switch Just parallel-sampled Parallel CLK #0 10 High-Speed Circuits and Systems Lab., Yonsei University

11 MUX-based eserializer 1:4 Serial Clock S Parallel CLK#0 Parallel CLK#1 Parallel CLK#2 Parallel CLK#3 P P P P Parallel data # Parallel data # Parallel data # Parallel data # High-Speed Circuits and Systems Lab., Yonsei University

12 Symbol ment eserialized data cannot be directly used Symbol boundary unknown at Rx need to be re-ed in the subsequent digital-processing stage Original symbol boundary Original symbol boundary Serial data Symbol boundary deserializer think Symbol boundary deserializer think Parallel data # Parallel data # Parallel data # Parallel data # High-Speed Circuits and Systems Lab., Yonsei University

13 Serializer esign Example ( 김성근 )

14 Serializer Serializer structure Block diagram Serializing block Serializer out Select signal generator ing block Clock Pulse1 Pulse2 Pulse3 Pulse4 Pulse Generator

15 Serializer Serializer structure Serializing block Block diagram Serializing block Outn 1p CLK1 Outp n 2p 4 CLK2 MUX structure 2n 3p CLK3 Serializer 3n out 4p CLK4 4n - MUX structure - Easy way to serialize Clock Pulse1 Pulse2 Pulse3 Pulse4 Pulse Generator

16 Serializer Serializer structure Block diagram Serializer out Select signal generator Clock Pulse1 Pulse2 Pulse3 Pulse4 Pulse Generator

17 Serializer Serializer structure Select signal generator CLK1 CLK2 CLK3 CLK4

18 Serializer Serializer structure Select signal generator A B Out A B Out

19 Serializer Serializer structure Block diagram Serializer out ing block Clock Pulse1 Pulse2 Pulse3 Pulse4 Pulse Generator

20 Serializer Serializer structure ing block

21 Serializer Serializer structure ing block 1 & CLK1 2 & CLK2 3 & CLK3 4 & CLK4 Output

22 Serializer Chip layout 1 : Output buffer 2 : 4:1 serializer 3 : Clock source (PLL) 4 : input buffer 1 10Gbps Transmitter 10Gbps 4:1 serializer - 4:1 Mux structure 2.5GHz clock generator - Phase-Locked Loop um 480um Specification Input : 2.5Gbps x 4 parallel data Output : 10Gbps serial data Size : 200um x 480um Power : mw Serializer 14.4 mw PLL 9.8 mw Output buffer 23.2 mw Input buffer 78 mw

23 Serializer Measurement Measurement setup Op On V V bias1 bias2 GN bias3. 1p 1n 4 parallel input : 1 AC signal and 3 C signal for probing Operating data-rate : 10Gbps output with 2.5Gbps input

24 Serializer Measurement Serializer operation verification Serializer output eye quality : : : : Out : Gbps eye-diagram with 2.5Gbps input data C current consumption : 120mA

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

100Gbps/Lane Electrical Signaling. Loop-Timed Configurations. Ramin Farjadrad SVP of R&D

100Gbps/Lane Electrical Signaling. Loop-Timed Configurations. Ramin Farjadrad SVP of R&D 100Gbps/Lane Electrical Signaling Loop-Timed Configurations Ramin Farjadrad SVP of R&D Dual Duplex Definition Single Duplex (SD): Tx Rx Transmit data Receive data Rx Tx Dual Duplex (DD): Transmit/Receive

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

Trends in Digital Interfaces for High-Speed ADCs

Trends in Digital Interfaces for High-Speed ADCs Trends in Digital Interfaces for High-Speed ADCs Robbie Shergill National Semiconductor Corp. INTRODUCTION The analog-to-digital converter is a critical component in many of the most demanding applications

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

HMC7545AxLP Gbps 4-Channel Asynchronous Signal Conditioner Evaluation Board (EVB) User Guide

HMC7545AxLP Gbps 4-Channel Asynchronous Signal Conditioner Evaluation Board (EVB) User Guide HMC7545AxLP47 14.2 Gbps 4-Channel Asynchronous Signal Conditioner Evaluation Board (EVB) User Guide User Guide Part # ECN# CP140238 Hittite Microwave Corporation 2 Elizabeth Dr Chelmsford, MA 01824 United

More information

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer Industry challenges The industry continues

More information

Marvell. 88SE9123-NAA2 SATA 6 Gb/s RAID Controller. SATA 3.0 Interface Analog Macro Circuit Analysis

Marvell. 88SE9123-NAA2 SATA 6 Gb/s RAID Controller. SATA 3.0 Interface Analog Macro Circuit Analysis Marvell 88SE9123-NAA2 SATA 6 Gb/s RAID Controller SATA 3.0 Interface Analog Macro Circuit Analysis For questions, comments, or more information about this report, or for any additional technical needs

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

ASNT_MUX64 64Gbps 2:1 Multiplexer

ASNT_MUX64 64Gbps 2:1 Multiplexer ASNT_MUX64 64Gbps 2:1 Multiplexer 105ps data phase shift capability for both data inputs VCO s from 20GHz to 32.1GHz User selectable clock divide by 2 to 512 sync output for scope triggering 17ps Rise/Fall

More information

PI3PCIE V, PCI Express 1-lane, 2:1 Mux/DeMux Switch. Features. Description. Application. Pin Description (Top-side view) Truth Table

PI3PCIE V, PCI Express 1-lane, 2:1 Mux/DeMux Switch. Features. Description. Application. Pin Description (Top-side view) Truth Table 3.3V, PCI Express 1-lane, Features 2 Differential Channel, 2:1 Mux/DeMux PCI Express 2.0 Performance, 5.0Gbps Pinout optimized for placement between two PCIe slots Bi-directional operation Low Bit-to-Bit

More information

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices May 2008, version 1.0 Application Note 518 Introduction Stratix III device family are one of the most architecturally advanced,

More information

HARI Interface Chip for Serial PMD A comparison of two clocking schemes. Vipul Bhatt, Finisar, 2/2/00 1

HARI Interface Chip for Serial PMD A comparison of two clocking schemes. Vipul Bhatt, Finisar, 2/2/00 1 Interface Chip for Serial PMD A comparison of two clocking schemes Vipul Bhatt, Finisar, 2/2/00 1 chip, 2 clock domains, Tx path De-, De-skew 32 16 644.53 MHz CKI 312.5M Recovered Byte Clock REFCK 312.5

More information

Readings: Storage unit. Can hold an n-bit value Composed of a group of n flip-flops. Each flip-flop stores 1 bit of information.

Readings: Storage unit. Can hold an n-bit value Composed of a group of n flip-flops. Each flip-flop stores 1 bit of information. Registers Readings: 5.8-5.9.3 Storage unit. Can hold an n-bit value Composed of a group of n flip-flops Each flip-flop stores 1 bit of information ff ff ff ff 178 Controlled Register Reset Load Action

More information

Terasic THDB-SUM SFP. HSMC Terasic SFP HSMC Board User Manual

Terasic THDB-SUM SFP. HSMC Terasic SFP HSMC Board User Manual Terasic THDB-SUM SFP HSMC Terasic SFP HSMC Board User Manual Document Version 1.00 AUG 12, 2009 by Terasic Introduction Page Index INTRODUCTION... 1 1.1 1.1 FEATURES... 1 1.2 1.2 ABOUT THE KIT... 2 1.3

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 17: Serial Buses USB Disks and other I/O Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture

More information

ASNT Gbps 1:16 Digital Deserializer

ASNT Gbps 1:16 Digital Deserializer 12.5Gbps 1:16 Digital Deserializer Broadband up to 12.5Gbps (gigabits per second) 1:16 Deserializer High-speed Input Data Buffer with on-chip 100Ohm differential termination. Full-rate CML Input Clock

More information

1-Fiber Detachable DVI module, DVFX-100

1-Fiber Detachable DVI module, DVFX-100 1-Fiber Detachable DVI module, DVFX-100 DATA SHEET Contents Description Features Applications Technical Specifications Functions Drawing Fiber Connection DVI Pin Description Revision History OPTICIS HQ

More information

Intel MAX 10 High-Speed LVDS I/O User Guide

Intel MAX 10 High-Speed LVDS I/O User Guide Intel MAX 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 High-Speed LVDS

More information

UT90nSDTC-EVB, Gbps Quad-lane SerDes Macro Evaluation Board Data Sheet February 2014

UT90nSDTC-EVB, Gbps Quad-lane SerDes Macro Evaluation Board Data Sheet February 2014 Semicustom Products UT90nSDTC-EVB, 3.125 Gbps Quad-lane SerDes Macro Evaluation Board Data Sheet February 2014 www.aeroflex.com/radhardasic FEATURES Aeroflex UT90nHBD 3.125 Gbps SerDes Macro transceiver,

More information

TF10CP02 / TF10CP Gbps 2x2 LVDS Crosspoint Switches. Features. Description. Applications. Function Diagram. Ordering Information.

TF10CP02 / TF10CP Gbps 2x2 LVDS Crosspoint Switches. Features. Description. Applications. Function Diagram. Ordering Information. Features DC to 1.5 Gbps low jitter, low skew, low power operation Pin configurable, fully differential, non-blocking architecture eases system design and PCB layout On-chip 100W input termination minimizes

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1 Solutions

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1 Solutions CSE 6 Introduction to igital Logic and Computer esign Exam Solutions Jonathan Turner /3/4. ( points) raw a logic diagram that implements the expression (B+C)(C +)(B+ ) directly (do not simplify first),

More information

ASNT7120-KMA 10GS/s, 4-bit Flash Analog-to-Digital Converter

ASNT7120-KMA 10GS/s, 4-bit Flash Analog-to-Digital Converter 10GS/s, 4-bit Flash Analog-to-Digital Converter 18GHz analog input bandwidth. Selectable clocking mode: external high-speed clock or internal PLL with external lowspeed reference clock. Broadband operation

More information

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Eight

More information

Description. Block Diagram DDC_SCL DDC_SDA AUX+ AUX- TB_Rx_1(p) TB_Rx_1(n) CA_DET_Out. CA_DET_Out

Description. Block Diagram DDC_SCL DDC_SDA AUX+ AUX- TB_Rx_1(p) TB_Rx_1(n) CA_DET_Out. CA_DET_Out 10.3Gbps Thunderbolt and DisplayPort Switch Features ÎÎSupports 5.4Gbps for DisplayPort 1.2 and 10.3Gbps for Thunderbolt Electrical Standard ÎÎSupports DP and DP++ Configuration ÎÎSupports AUX and DDC

More information

ASNT1016-PQA 16:1 MUX-CMU

ASNT1016-PQA 16:1 MUX-CMU 16:1 MUX-CMU 16 to 1 multiplexer (MUX) with integrated CMU (clock multiplication unit). PLL-based architecture featuring both counter and forward clocking modes. Supports multiple data rates in the 9.8-12.5Gb/s

More information

User s Guide. Mixed Signal DSP Solutions SLLU011

User s Guide. Mixed Signal DSP Solutions SLLU011 User s Guide July 2000 Mixed Signal DSP Solutions SLLU011 IMPORTANT NOTICE Texas Instruments and its subsidiaries (TI) reserve the right to make changes to their products or to discontinue any product

More information

Token Bit Manager for the CMS Pixel Readout

Token Bit Manager for the CMS Pixel Readout Token Bit Manager for the CMS Pixel Readout Edward Bartz Rutgers University Pixel 2002 International Workshop September 9, 2002 slide 1 TBM Overview Orchestrate the Readout of Several Pixel Chips on a

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Intel Stratix 10 High-Speed LVDS I/O User Guide

Intel Stratix 10 High-Speed LVDS I/O User Guide Intel Stratix 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 High-Speed LVDS I/O

More information

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT)

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT) ATLAS Production Readiness Review ATLAS Muon TDC (AMT) 2 June 22@CERN Yasuo Arai (KEK) yasuo.arai@kek.jp http://atlas.kek.jp/tdc/prr/ Description of Circuit Fine Time Measurement : LVDS Receiver/Dribver

More information

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 High Speed Design Team, San Diego Thursday, July 23, 2009 1 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

8. High-Speed Differential I/O Interfaces and DPA in HardCopy IV Devices

8. High-Speed Differential I/O Interfaces and DPA in HardCopy IV Devices January 2011 HIV51008-2.2 8. High-Speed Differential I/O Interfaces and DPA in HardCopy IV Devices HIV51008-2.2 The HardCopy IV device family offers up to 1.25-Gbps differential I/O capabilities to suppt

More information

Peripheral Component Interconnect - Express

Peripheral Component Interconnect - Express PCIe Peripheral Component Interconnect - Express Preceded by PCI and PCI-X But completely different physically Logical configuration separate from the physical configuration Logical configuration is backward

More information

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project - Fall 2002 Lecture 20 Synthesis Sequential Logic Announcements Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project» Teams

More information

Mealy and Moore examples

Mealy and Moore examples CSE 37 Spring 26 Introduction to igital esign ecture 2: uential ogic Technologies ast ecture Moore and Mealy Machines Today uential logic technologies Ving machine: Moore to synch. Mealy OPEN = creates

More information

ASNT2011-KMA DC-to-17Gbps Digital Demultiplexer 1:16 / Deserializer

ASNT2011-KMA DC-to-17Gbps Digital Demultiplexer 1:16 / Deserializer ASNT2011-KMA DC-to-17Gbps Digital Demultiplexer 1:16 / Deserializer Broadband digital deserializer 1:16 operating seamlessly from DC to 17Gbps. LVDS output data buffers that feature a low-power proprietary

More information

OPTOSTAR's OP-MDCX8xxL1SD-40 10G Cooled EML XFP DWDM transceivers are designed for 10G

OPTOSTAR's OP-MDCX8xxL1SD-40 10G Cooled EML XFP DWDM transceivers are designed for 10G 10Gbps 40km XFP DWDM Optical Transceiver Product Features Duplex LC Connector Support hot-pluggable Metal with lower EMI Excellent ESD protection XFP MSA compliant RoHS Compliant and Lead-Free Compliant

More information

ASNT2032-MBL Digital DMUX 12-to-24 with LVDS Interfaces

ASNT2032-MBL Digital DMUX 12-to-24 with LVDS Interfaces -MBL Digital DMUX 12-to-24 with LVDS Interfaces Digital demultiplexer (DMUX) 12-to-24 with LVDS output interface Programmable LVDS/CML/ECL input interface Supports data rates from 1.0Mbps to 3.6Gbps Preset

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

PI3HDMI231-A. 3:1 ActiveEye HDMI TM Switch with Electrical Idle Detect

PI3HDMI231-A. 3:1 ActiveEye HDMI TM Switch with Electrical Idle Detect Features 3 digital video inputs can be switched to a single output Each input can be AC coupled video or DC coupled, while the output will maintain its DC coupled, current-steering, TMDS compliance TMDS

More information

Product Specification. 10Gbps 2KM XFP Transceiver PLXFP1310GLR02

Product Specification. 10Gbps 2KM XFP Transceiver PLXFP1310GLR02 Product Specification 10Gbps 2KM XFP Transceiver PLXFP1310GLR02 Product Features 9.95Gbps to 11.1Gbps data links 2km with 9/125µm SMF V20140818 1310nm FP laser Duplex LC Connector Hot-pluggable XFP footprint

More information

UT54LVDS218 Deserializer Data Sheet September, 2015

UT54LVDS218 Deserializer Data Sheet September, 2015 Standard Products UT54LVDS218 Deserializer Data Sheet September, 2015 The most important thing we build is trust FEATURES 15 to 75MHz shift clock support 50% duty cycle on receiver output clock Low power

More information

1-Fiber Detachable DVI module, DVFX-110

1-Fiber Detachable DVI module, DVFX-110 1-Fiber Detachable DVI module, DVFX-110 DATA SHEET Contents Description Features Applications Technical Specifications Functions Drawing Fiber Connection DVI Pin Description OPTICIS HQ Opticis Co., Ltd.

More information

1-Fiber Detachable DVI module, DVFX-110

1-Fiber Detachable DVI module, DVFX-110 1-Fiber Detachable DVI module, DVFX-110 DATA SHEET Contents Description Features Applications Technical Specifications Functions Drawing Fiber Connection DVI Pin Description OPTICIS HQ Opticis Co., Ltd.

More information

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer ASNT1011-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer Broadband digital serializer 16 to 1 LVDS compliant input data buffers Full-rate clock output Clock-divided-by-16 LVDS output buffer with

More information

DGS-1510 Series Gigabit Ethernet SmartPro Switch Web UI Reference Guide. Figure 10-1 Cable Diagnostics window

DGS-1510 Series Gigabit Ethernet SmartPro Switch Web UI Reference Guide. Figure 10-1 Cable Diagnostics window 10. OAM Cable Diagnostics DDM Cable Diagnostics The cable diagnostics feature is designed primarily for administrators or customer service representatives to verify and test copper cables; it can rapidly

More information

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh XAPP7 (v.2) July 2, 25 Application te: Virtex-4 Family Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh Summary This application note describes a dynamic phase alignment (DPA) application

More information

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN Comparative Analysis of Latency, Throughput and Network Power for West First, North Last and West First North Last Routing For 2D 4 X 4 Mesh Topology NoC Architecture Bhupendra Kumar Soni 1, Dr. Girish

More information

2-Fiber Detachable Dual Link DVI module, DDFX-100

2-Fiber Detachable Dual Link DVI module, DDFX-100 2-Fiber Detachable Dual Link DVI module, DDFX-100 DATA SHEET Contents Description Features Applications Technical Specifications Functions Drawing Fiber Connection DVI Pin Description OPTICIS HQ Opticis

More information

HACCOM UM96 DataSheet / UserManual Dimitri Karatsinides

HACCOM UM96 DataSheet / UserManual Dimitri Karatsinides HACCOM UM96 DataSheet / UserManual Dimitri Karatsinides Disclaimer: This document was made during a senior engineering project. Its contents contain information from the original datasheet, as well as

More information

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips Implementing LE rivers in MAX and MAX II evices October 2008 AN-286-2.3 Introduction iscrete LE driver chips are common on many system boards. Altera MAX II, MAX 7000B, MAX 7000A, MAX 3000A, and MAX 7000S

More information

The RM9150 and the Fast Device Bus High Speed Interconnect

The RM9150 and the Fast Device Bus High Speed Interconnect The RM9150 and the Fast Device High Speed Interconnect John R. Kinsel Principal Engineer www.pmc -sierra.com 1 August 2004 Agenda CPU-based SOC Design Challenges Fast Device (FDB) Overview Generic Device

More information

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC)

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) 10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) Preliminary General Description GD16585 and GD16589 are transmitter chips used in SDH STM-64 and SONET OC-192 optical communication systems.

More information

Computer Organization

Computer Organization Computer Organization! Computer design as an application of digital logic design procedures! Computer = processing unit + memory system! Processing unit = control + datapath! Control = finite state machine

More information

A First Look at Microprocessors

A First Look at Microprocessors A First Look at Microprocessors using the The General Prototype Computer (GPC) model Part 3 CPU Ecosystem CPUs by themselves cannot make a complete system they need certain other peripherals, or support

More information

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer / Serializer 16:1or 8:1

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer / Serializer 16:1or 8:1 ASNT1011-KMA DC-to-17Gbps Digital Multiplexer / Serializer 16:1or 8:1 Broadband programmable digital serializer 16-to-1 or 8-to-1 LVDS compliant input data buffers Full-rate clock output Selectable LVDS-compliant

More information

NS9750B-0. Use in conjunction with: Errata , Rev G. Release date: May Phone: Web:

NS9750B-0. Use in conjunction with: Errata , Rev G. Release date: May Phone: Web: NS9750B-0 Errata 90000530, Rev G Release date: May 2006 Use in conjunction with: NS9750 Hardware Reference, Rev. E Part number: 90000624_E Released: April 2006 SPI slave data output high impedance control

More information

MS BA Micro Barometric Module

MS BA Micro Barometric Module MS5605-02A Micro arometric Module High resolution module, 20cm Fast conversion down to ms Low power, µa (standby < 0.5 µa) QFN package 5.0 x 3.0 x.6 mm 3 Supply voltage.8 to 3.6 V Integrated digital pressure

More information

Design for Testability

Design for Testability Design for Testability Sungho Kang Yonsei University Outline Introduction Testability Measure Design for Testability Ad-Hoc Testable Design Conclusion 2 Merging Design and Test Design and Test become closer

More information

DS90CR MHz 48-bit Channel Link Serializer (6.384 Gbps)

DS90CR MHz 48-bit Channel Link Serializer (6.384 Gbps) DS90CR485 133MHz 48-bit Channel Link Serializer (6.384 Gbps) General Description The DS90CR485 serializes the 24 LVCMOS/LVTTL double edge inputs (48 bits data latched in per clock cycle) onto 8 Low Voltage

More information

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation Presenter: Andrew Byers Ansoft Corporation High Performance Electronics: Technical Challenges Faster data rates in increasingly

More information

DEV-1 HamStack Development Board

DEV-1 HamStack Development Board Sierra Radio Systems DEV-1 HamStack Development Board Reference Manual Version 1.0 Contents Introduction Hardware Compiler overview Program structure Code examples Sample projects For more information,

More information

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC)

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) an Intel company 10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) Preliminary General Description GD16585 and GD16589 are transmitter chips used in SDH STM-64 and SONET OC-192 optical communication

More information

Using I/O Standards in the Quartus Software

Using I/O Standards in the Quartus Software White Paper Using I/O Standards in the Quartus Software This document shows how to implement and view the selectable I/O standards for APEX TM 20KE devices in the Quartus TM software and give placement

More information

Introduction to the syshsi Block ispxpga and ispgdx2

Introduction to the syshsi Block ispxpga and ispgdx2 April 2003 Introduction Introduction to the syshsi Block ispxpga and ispgdx2 Technical Note Embedding clocks into serial data streams is a popular technique in high-speed data communications systems applications.

More information

JUNE 2007 REV XRT91L32. STS-12/STM-4 or STS-3/STM-1 TRANSCEIVER. PISO (Parallel Input Serial Output) Div by 8 CMU RLOOPS DLOOP MUX CDR MUX

JUNE 2007 REV XRT91L32. STS-12/STM-4 or STS-3/STM-1 TRANSCEIVER. PISO (Parallel Input Serial Output) Div by 8 CMU RLOOPS DLOOP MUX CDR MUX STS-12/STM-4 OR STS-3/STM-1 SONET/SDH TRANSCEIVER JUNE 2007 REV. 1.0.3 GENERAL DESCRIPTION The is a fully integrated SONET/SDH transceiver for SONET/SDH 622.08 Mbps STS-12/ STM-4 or 155.52 Mbps STS-3/STM-1

More information

Section I. Arria GX Device Data Sheet

Section I. Arria GX Device Data Sheet Section I. Arria GX Device Data Sheet This section provides designers with the data sheet specifications for Arria GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

XPIO 110GXS Fully Integrated 10Gbps Serializer/Deserializer Device

XPIO 110GXS Fully Integrated 10Gbps Serializer/Deserializer Device Lowest Power G SERDES! XPIO GXS Fully Integrated Gbps Serializer/Deserializer Device August 24 Data Sheet Features Single chip SERDES solution with integrated transmitter and receiver Continuous serial

More information

Digital Logic Design. Final Examination

Digital Logic Design. Final Examination The University of Toleo s8fs_il7.fm - EEC: igital Logic esign r. Anthony. Johnson tuent name igital Logic esign Final Examination Problems Points... 4 Total 6 Was the exam fair? yes no The University of

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

Audio Processing Platform - APP

Audio Processing Platform - APP Audio Processing Platform - APP STM32F7Discovery plus for flexible Digital Audio Processing Ÿ Audio Processing with STM32F7 Discovery Ÿ Any-to-Any Audio-Interface combination Ÿ Sample Rate Conversion (SRC)

More information

CMPE 413/ CMSC 711. Project Specification: 16 bit 2 s complement Adder and 8 bit 2 s complement multiplier. GND. Input bus. Latches I[8]-I[15]

CMPE 413/ CMSC 711. Project Specification: 16 bit 2 s complement Adder and 8 bit 2 s complement multiplier. GND. Input bus. Latches I[8]-I[15] Project Specification: 16 bit 2 s complement Adder and 8 bit 2 s complement multiplier. Assigned: Fri, Nov 3rd Due: Tue, Dec. 19th Description: con1 I[15] I[14] I[13] GND I[12] I[11] I[10] I[9] con2 O[15]

More information

MAC on the HUB. Y. Ermoline, V0.1. This note describe design steps of the MAC on HUB FPGA to work with Ethernet for IPbus.

MAC on the HUB. Y. Ermoline, V0.1. This note describe design steps of the MAC on HUB FPGA to work with Ethernet for IPbus. MAC on the HUB Y. Ermoline, 20.10.2017 V0.1 This note describe design steps of the MAC on HUB FPGA to work with Ethernet for IPbus. Contents: FPGA and PHY chip... 2 Tri-Mode Ethernet Media Access Controller

More information

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET The InterOperability Laboratory MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET Abstract: This document serves as the primary documentation for the MIPI D-PHY Reference Termination

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

VCCO GND V CCOGND DE_OUT CNTL_OUT8 CNTL_OUT7 CNTL_OUT6 CNTL_OUT5 CNTL_OUT4 CNTL_OUT3 CNTL_OUT2 CNTL_OUT1T CNTL_OUT0 OUTEN PWRDWN RGB_OUT8 RGB_OUT9

VCCO GND V CCOGND DE_OUT CNTL_OUT8 CNTL_OUT7 CNTL_OUT6 CNTL_OUT5 CNTL_OUT4 CNTL_OUT3 CNTL_OUT2 CNTL_OUT1T CNTL_OUT0 OUTEN PWRDWN RGB_OUT8 RGB_OUT9 9-3557; Rev 5; 8/9 EVALUATION KIT AVAILABLE 27-Bit, 3MHz-to-35MHz General Description The digital video serial-to-parallel converter deserializes a total of 27 bits during data and control phases. In the

More information

Performance Analysis of Video PHY Controller Using Unidirection and Bidirectional IO Standard via 7 Series FPGA

Performance Analysis of Video PHY Controller Using Unidirection and Bidirectional IO Standard via 7 Series FPGA Performance Analysis of Video PHY Controller Using Unidirection and Bidirectional IO Standard via 7 Series FPGA Bhagwan Das 1,a, M.F.L Abdullah 1,b, DMA Hussain 2,c, Nisha Pandey 3,d, Gaurav Verma 3,d

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Session 1.2 - Hop Topics for SoC Design Asynchronous System Design Prof. Marc RENAUDIN TIMA, Grenoble,

More information

ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer

ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer Broadband digital serializer 16 to 1 operating seamlessly from DC to 17Gbps LVDS compliant input data buffers Full-rate clock output Clock

More information

Hspice Differential IO Kit User s Manual

Hspice Differential IO Kit User s Manual Hspice Differential IO Kit User s Manual Simulation of Lattice SC Product LVDS and other differential Interfaces OVERVIEW The Lattice HSpice IO Kit contains a collection of HSpice model files that allow

More information

Building Gigabit Interfaces in Altera Transceiver Devices

Building Gigabit Interfaces in Altera Transceiver Devices Building Gigabit Interfaces in Altera Transceiver Devices Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 28- nm embedded transceivers found

More information

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices June 015 SIV51008-3.5 8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices SIV51008-3.5 This chapter describes the significant advantages of the high-speed differential I/O interfaces

More information

ZLAN-414 Footprint Compatibility of Microsemi Clock Buffers with Competitors Application Note

ZLAN-414 Footprint Compatibility of Microsemi Clock Buffers with Competitors Application Note Footprint ompatibility of Microsemi lock Buffers with ompetitors December 2012 1.0 Introduction In order to help customers quickly integrate the new Microsemi clock distribution buffers into existing designs,

More information

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program MOSIS Chip Test Report Dan Suo File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program Technology: 0.5um CMOS, ON Semiconductor

More information

STM32 Ecosystem workshop. T.O.M.A.S Team

STM32 Ecosystem workshop. T.O.M.A.S Team STM Ecosystem workshop T.O.M.A.S Team 2 Now it is time for some activities with STMCubeMX again Our current goal is to port provided ready project done for NUCLEO-L053R8 board (STML053R8T6 microcontroller)

More information

INTRODUCTION. icegate

INTRODUCTION. icegate Using icegate in Ultra Low Power ice FPGA INTRODUCTION In today s handheld and portable applications market, power price and space form the crux of the competition, especially with FPGAs and CPLDs playing

More information

ASNT1011. ASNT1011-PQA DC-to-16Gbps Digital Multiplexer 16:1 / Serializer

ASNT1011. ASNT1011-PQA DC-to-16Gbps Digital Multiplexer 16:1 / Serializer Advaed Sciee And Novel Technology Company, I. 27 Via Porto Grande, Raho Palos Verdes, CA 90275 ASNT1011-PQA DC-to-16Gbps Digital Multiplexer 16:1 / Serializer Broadband digital serializer 16-to-1. LVDS

More information

High-speed I/O test: The ATE paradigm must change

High-speed I/O test: The ATE paradigm must change High-speed I/O test: The ATE paradigm must change 2005 VLSI Test Symposium Session 4C Burnie West May 2005 Outline The brave new world Test methodology PHY testing Functional testing ATE specifications

More information

Mark Redekopp, All rights reserved. EE 352 Unit 8. HW Constructs

Mark Redekopp, All rights reserved. EE 352 Unit 8. HW Constructs EE 352 Unit 8 HW Constructs Logic Circuits Combinational logic Perform a specific function (mapping of 2 n input combinations to desired output combinations) No internal state or feedback Given a set of

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

CS250 VLSI Systems Design Lecture 9: Memory

CS250 VLSI Systems Design Lecture 9: Memory CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information