Global Trigger Processor

Size: px
Start display at page:

Download "Global Trigger Processor"

Transcription

1 NUCLEAR PHYSICS DIVISION FAST ELECTRONICS GROUP Description and Requirements for the Global Trigger Processor Benjamin Raydo Chris Cuevas Scott Kaneta Christopher Hewitt 5 February 2014

2 Table of Contents 1 - Introduction Purpose of the module Functional Description Signal Distribution High Speed VXS Serial Management Trigger Algorithm Processing CPU management interface System integration Barebox bootloader Linux kernel Root filesystem Specification Sheet Registers Cfg Peripheral Registers Section (Peripheral offset = 0x0000) Clk Peripheral Registers Section (Peripheral offset = 0x0100) Sd Peripheral Registers Section (Peripheral offset = 0x0200) La (Logic Analyzer) Peripheral Registers Section (Peripheral offset = 0x0400) GxbConfig Peripheral Registers Section (Peripheral offset = 0x0500, 0x0600) Serdes Peripheral Registers Section (Peripheral offset = 0x1000, 0x1100,, 0x1F00) Trigger Peripheral Registers Section (Peripheral offset = 0x2000) BCal Peripheral Registers Section (Peripheral offset = 0x3000) FCal Peripheral Registers Section (Peripheral offset = 0x3100) SSGenPattern Peripheral Registers Section (Peripheral offset = 0x3200, 0x3300,, 0x3600) Trigbit Peripheral Registers Section (Peripheral offset = 0x4000, 0x4100,, 0x4F00) Example sequence for board initialization Ethernet Interface to GTP Firmware updates Register & Scalers Accesses Power Supply and Current Consumption VXS Pinout Table February

3 28 February

4 1 - Introduction The Global Trigger Processor (GTP) module is being designed for the Jefferson Lab 12GeV experimental halls. This unit is responsible for sending fixed latency trigger signals to the Trigger Supervisor (TS). The trigger signals are determined by evaluating the various detector subsystem Level 1 variable streams (derived from the Sub System Processors, SSP) in a set of trigger equations that reside in the GTP. Trigger equations are evaluated in the 250MHz system pipeline and can be reprogrammed to form different types of triggers by programming the Field Programmable Gate Array (FPGA) that performs the processing. The GTP is designed for a dual-star configured VXS crate and occupies one switch slot. The Global Trigger Crate (VXS) can only hold one GTP module located in the Switch A slot and can compute up to 30 simultaneous trigger equation evaluations. The TS located in the Trigger Distribution Crate makes the final trigger decision and will distribute a trigger word to the front-end crates when the desired trigger conditions are met. Figure 1a is a logical diagram of the Global Trigger system, and shows where the GTP module resides in the Level 1 trigger system along with some of the critical signals interface it to the system. Figure 1a: Global Trigger Crate 28 February

5 2 - Purpose of the module The GTP unit can receive up to 16 simultaneous subsystem variable streams to generate Level 1 triggers. Each subsystem variable stream provides a 32 bit quantity every 4ns (250MHz clock) indicating the current status of that subsystem (i.e. the total energy, number of tracks, or min/max energy for that subsystem in the current clock cycle). The eight 32 bit system variables are evaluated in up to 30 trigger equations and each can be mapped to a trigger output bit high or low as defined by the result of the trigger equation. All logic and computations run at the global 250MHz clock and are pipelined to allow continuous trigger decisions. 3 - Functional Description Figure 3a shows a detailed block diagram of the Global Trigger Processor module. There are 4 major sections to this module and include: signal distribution, high speed VXS serial link management, trigger algorithm processing, and CPU management interface. Figure 3a: Global Trigger Processor Hardware Block Diagram 28 February

6 3.1 - Signal Distribution The standard configuration of the VXS crates in the data acquisition system requires distribution of the clock, trigger, and sync signals. This is done with the Signal Distribution (SD) module, which is placed in the VXS B switch slot. Note: The transmit-to-receiver latency can vary by a few clock cycles between the Xilinx Aurora links each time the receive locks to the incoming data stream, but once locked the latency is fixed. Ultimately the GTP retimes the final trigger decision such that its latency is fixed with respect to the physics event (accurate to within roughly 4ns) High Speed VXS Serial Management The SSP modules each communicate to the GTP via a 2 lane high speed serial VXS connection. Each lane operates at 5 Gbps providing a 10Gbps link. Using the Xilinx Aurora protocol this 10Gbps channel allows each SSP to provide a 32bit instantaneous subsystem quantity every 4ns The Xilinx Aurora protocol uses the standard 8b10b line encoding scheme found in modern high speed networking protocols. Some of the main features of this encoding scheme include: DC balanced data stream, limited run length, and single bit error detection. Prototyping with the high speed serial links has revealed extremely low error rates when used on the VXS backplanes with the Xilinx Aurora protocol. While there is available bandwidth in the SSP->GTP links to provide capability for error correction, the error rates have shown to be low enough such that we can reliably use error detection and either ignore erroneous data or restart the Level 1 trigger system to avoid use of corrupted data. As shown in Figure 3a, one FPGA will process all sixteen sets of SSP serial data streams. It is responsible for retiming the subsystem data streams such that a fixed latency trigger can be formed by the final computational stage. The retiming is done by using the fixed latency SYNC signal from the Trigger Inteface (TI). When the Level 1 trigger system starts, by deassertion of the SYNC signal, it will buffer the subsystem streams in a FIFO and after a fixed number of cycles (the number of cycles is determined by ensuring each SSP has been able to send at least to the GTP 2 subsystem words) the FIFOs will be read out simultaneously, which turns the SSP streams into a fixed latency stream for the final stage in the Level 1 trigger. Deskewing the subsystem data streams such that correlated physics events arrive at the Trigger Algorithm Processors at the same time will simplify the final processing stage. 28 February

7 3.3 - Trigger Algorithm Processing Subsystem trigger data flows from the SSP into the GTP. Currently the Hall D implementation requires the use of 8 SSP modules to support triggering for the following subsystems: barrel calorimeter (BCAL), forward calorimeter (FCAL), tagger microscope (TAGM), tagger hodoscope (TAGH), pair spectrometer (PS), start counter (ST), and time of flight (TOF). Each subsystem tends to have characteristics unique characteristics that require the trigger logic to be specific to that detector rather than generic. The Hall D general trigger implementation is shown on figure 3.3a, where each subsystem data stream comes from specific SSP with a specific data format. Programmable delays are introduced to those data streams that allow the subsystems to be deskew with respect to each other. The deskew is intended to compensate for cable length differences, detector response time differences, detector position offsets, and allow intentional skew introduction in cases where the trigger decision timing is desired to be set by a particular detector. The next stage performs some post-processing on the subsystem data streams. In this case, only the FCAL requires this as to sum together the data from two SSP data streams into one. Finally, programmable coincidence windows are formed defined by the pulse extensions applied to hits (TAGM, TAGH, PS, ST, TOF cases) or by defining the time window of integration in the case of energy sums and number of simultaneous hits (BCAL, FCAL cases). Figure 3.3a 28 February

8 Finally the copies of the subsystem data streams sent to each of the trigger bits where the logic is shown in figure 3.3b. The trigger bit logic has several thresholds, scale factors, and masks that can be applied to the subsystem data. An arbitrary selection of the desired subsystem logic equations can be put into coincidence that make the final trigger bit decision. The trigger bit decision has a programmable pulse extension that can be applied and also has its timing adjusted so that a fixed latency trigger decision is made. The fixed latency trigger decision is defined as a constant propagation time from a detector output signal to the respective trigger bit decision. This is critical to ensure the proper time window is captured on the front end (those time windows should capture the data that formed and agree with the trigger decision). Figure 3.3b 28 February

9 3.4 - CPU management interface To aid configuration, programming, and status reporting, the GTP will use its I 2 C and Ethernet connections. VXS Switch modules in the level 1 trigger use an I 2 C to communicate with the TI so their registers can be reported through the VME bus. Due to its limited speed and size, the I 2 C interface will be used for board type, firmware version, and Ethernet status and configuration. Refer to the GTP Register spreadsheet for details. The Ethernet connection will interface with the FPGA for all other configuration and control. These large FPGA devices will utilize 128 MB flash memory to store multiple FPGA images and a separate 128 MB for embedded processor code and other files. These devices will be programmable via the Ethernet interface to modify the firmware and software. This will be particularly timesaving if there are a host of trigger equations that need to be loaded for different experiments. The LAN interface will also allow real-time readout of onboard scalers, general reconfiguration relating to setting up SSP input ports, and programming of trigger equation coefficients. The FPGA provides enough resource headroom to incorporate a reconfigurable Nios II processor. This soft processor enables the GTP to run an operating system without adding any additional physical components to the board. Running GNU/Linux on the GTP offers a number of advantages to the project, including access to its advanced networking capabilities, remote administration with secure shell, hardware peripheral access from user space, and a familiar environment with which software developers can extend functionality. Mentor Graphics provides a free cross-compiling Nios II toolchain based on the GNU Compiler Collection (GCC) called Sourcery CodeBench Lite, which is used to build the operating system from a development workstation. Remote debugging is also possible through the JTAG interface or over the network with gdbserver System integration The Nios II platform is specified using Altera Qsys. The tool provides an interface to interconnect a Nios II processor and timers with various peripherals, such as memory and flash storage, which physically exist on the GTP board. Qsys generates a hardware description from the configuration that is included with the rest of the FPGA design project. A command line tool called sopc2dts converts the Qsys specification into header files and device tree source, which the bootloader and kernel use to map memory addresses for peripheral access Barebox bootloader Barebox is a bootloader for embedded systems containing support for the Nios II architecture. Despite its small binary size, Barebox offers a substantial set of features, including the ability to boot kernel images and mount root filesystems remotely using TFTP and NFS protocols. Remote booting expedites the testing process as images can be quickly loaded directly into memory, bypassing lengthy writes to flash storage. Barebox also provides a familiar Unix-like command line interface when 28 February

10 accessed via Altera s JTAG interface or the GPT s serial port and offers a lot of flexibility to script tasks such as network configuration and booting. A board support package for the GTP board was derived from Barebox s generic Nios II platform example and the header file generated by the sopc2dts tool. Modifications were made to the relevant drivers to accommodate the pairing of the Altera Triple Speed Ethernet MAC with the Micrel KSZ9021RN Gigabit Ethernet PHY. Additionally, a partition table was created for the two flash memory devices on the GTP. The first flash device contains small partitions for the Barebox binary image and its configuration environment, as well as larger partitions for both the kernel and the root filesystem. A small general-purpose configuration partition resides at the end for any additional nonvolatile parameters, such as a MAC address. The second flash device only contains one partition to contain loadable bitstreams for reprogramming the Stratix IV FPGA Linux kernel While the mainline Linux kernel does not support the Nios II architecture, a community named RocketBoards has made significant efforts to port to and support this platform. At the time of the GTP Linux porting effort, kernel 3.12 was the most current stable release and determined as the best starting point. As with Barebox, a board support package was created for the GTP. Configuration options were selected to best match the combination of attached peripherals and memory layout. Additionally, complications with the MAC and PHY kernel drivers had to be addressed before networking functioned reliably on the GTP Root filesystem Since there is no formal distribution of Linux for the Nios II architecture, the root filesystem, which Linux mounts at boot, must be built from source code. A package of scripts called Buildroot assists in this process and can automatically download and build the required files. Additionally, other packages such as OpenSSH and uemacs can be selected for building. Once all source code is built, Buildroot generates a JFFS2 image, a filesytem optimized for NOR flashes, which is then flashed to the device. Once Barebox, the Linux kernel, and the root filesystem exist on the flash memory, the board can be successfully booted into a usable Linux environment. Additional software can be developed in C or C++ and cross-compiled for the GTP Linux environment then transferred to the system via SCP, TFTP, or NFS. 28 February

11 Specification Sheet MECHANICAL Single width VITA 41 (VXS) Switch Module HIGH SPEED SERIAL I/O: From Switch B (Signal Distribution module) 250MHz Clock (LVPECL) Trig1, Trig2, Sync (LVPECL) To Payload Ports 1-16 (SSP/spare modules) 2x 5 Gbps SSP Stream (Xilinx Aurora) LINKUP out (LVTTL) BUSY out (LVTTL) Outputs: Trigger output decision time <512ns Front Panel: 1x RJ45: Ethernet 4x Densishield: Trigger Signals (LVPECL) 1x QSFP Fiber Transceiver 1x JTAG Indicators: (Front Panel) Power Blue LED Trigger Amber LED Alarm Red LED Programming: On board JTAG Port 100/1000Mbps Ethernet Interface Trigger equation and processing for up to 16 JLAB SubSystem Processors Power Requirements: 10 Amps (From Backplane) Local regulators for other required voltages Environment: Forced air cooling: N CFM Commercial grade components ( 85 C max) 28 February

12 4 - Registers The GTP registers can be accessed two ways: Ethernet and I2C using the TI. The I2C register set is very limited intended to convey only enough information so that the Ethernet interface can be used. The Ethernet interface uses a custom protocol to facilitate register access as well as other functions that will be described in detail later. I2C Register Summary: Note: I2C accesses are 16bits each. Address offsets listed are 16bit word offsets. These registers are identical to the ones in the Ethernet interface (Cfg peripheral), please refer to the Ethernet versions for bit specific details. Since the Ethernet interface uses 32bit words (typically) the I2C uses 2x 16bit registers to provide the same information. The lower address access in the I2C words are the lower 16bits of the 32bit Ethernet word. Register Name Description Address Offset BoardId Board identification 0x00-0x01 FirmwareRev Firmware revision 0x02-0x03 CpuStatus Linux CPU status 0x04-0x05 Hostname[] Linux CPU hostname 0x06-0x0D Ethernet Register Summary: Note: Ethernet registers are each unless noted others. Unaligned non-32bit word accesses are not supported unless stated explicitly. Register Name Description Address Offset Cfg peripheral (offset 0x0000) BoardId Board identification 0x0000 FirmwareRev Firmware revision 0x0004 CpuStatus Linux CPU status 0x0008 Hostname[] Linux CPU hostname 0x000C Clk peripheral (offset 0x0100) Ctrl Clock control 0x0000 Status Clock status 0x0004 Sd peripheral (offset 0x0200) ScalerLatch Latch scalers 0x0000 Scalers[] Scalers 0x0004 PulserPeriod Pulser Period 0x0100 PulserLowCycles Pulser low cycles 0x0104 PulserNPulses Pulser pulse count 0x0108 PulserDone Pulser status 0x010C PulserStart Pulser start 0x0110 SrlSel[] Signal muxing 0x February

13 La peripheral (offset 0x0400) Ctrl Analyzer Control 0x0000 Status Analyzer Status 0x0004 Data[] Analyzer data 0x0020 CompareMode[] Analyzer compare modes 0x0040 CompareThreshold[] Analyzer compare thresholds 0x0060 MaskEn[] Analyzer masks 0x0080 MaskVal[] Analyzer match values 0x00A0 GxbConfig peripheral (offset 0x0500, 0x0600) Status Gxb Config Status 0x0000 Ctrl Gxb Config Control 0x0004 Ctrl2 Gxb Config Control 0x0008 TxRxIn Gxb Config Data In 0x000C TxRxOut Gxb Config Data Out 0x0010 Serdes peripheral (0x1000, 0x1100,, 0x1F00) Ctrl Control 0x0000 Status Status 0x0010 ErrTile Tile 0 rx bit errors 0x0018 Status2 Status 0x001C LaCtrl Analyzer Control 0x0020 LaStatus Analyzer Status 0x0024 LaData[] Analyzer Data 0x0030 CompareMode Analyzer Compare Mode 0x0050 CompareThreshold Analyzer Compare Thresold 0x0070 MaskEn[] Analyzer Masks 0x0090 MaskVal[] Analyzer Compare Vals 0x00B0 Trigger peripheral (offset 0x2000) Ctrl SSP trigger configuration 0x0000 BCal peripheral (offset 0x3000) Delay Pulse delay 0x0000 Width Pulse width 0x0004 HistDataEnergy Energy histogram 0x0010 HistDataHits Hit count histogram 0x0018 FCal peripheral (offset 0x3100) Delay Pulse delay 0x0000 Width Pulse width 0x0004 HistDataEnergy Energy histogram 0x February

14 GtpHitPattern peripheral (offset 0x3200, 0x3300, 0x3400, 0x3500, 0x3600) Delay Pulse delay 0x0000 Width Pulse width 0x0004 Scalers[] Bit pattern scalers 0x0080 Trigbit peripheral (offset 0x4000, 0x4100,, 0x4F00) Ctrl Logic control 0x0000 TrigOutCtrl Trigout control 0x0004 TrigOutStatus Trigout status 0x0008 BCalCtrl0 BCal control 0x0010 BCalCtrl1 BCal control 0x0014 FCalCtrl FCal control 0x0020 BFCalCtrl BCal,FCAL control 0x0030 PSCtrl PS control 0x0040 STCtrl0 ST control 0x0050 STCtrl1 ST control 0x0054 TOFCtrl0 TOF control 0x0060 TOFCtrl1 TOF control 0x0064 TagMCtrl TAGM control 0x0070 TagHCtrl TAGM control 0x0074 Scalers[] Scalers 0x February

15 4.1 Cfg Peripheral Registers Section (Peripheral offset = 0x0000) Basic board information registers can be used to verify that this board is the GTP and check for the software revision, which should be checked for compatibility. When using the I2C access to these registers, the Ethernet hostname of the Linux CPU can be retrieve so that a connection can be established over Ethernet for access to the full register space. Register: BoardId Address Offset: 0x0000 Reset State: 0x BOARD_ID BOARD_ID BOARD_ID BOARD_ID BOARD_ID (RO): 0x = GTP in ASCII Register: FirmwareRev Address Offset: 0x0004 Reset State: 0xXXXXXXXX GTPTYPE GTPTYPE FIRMWARE_REV_MAJOR FIRMWARE_REV_MINOR GTPTYPE(RO): Firmware build type [0x0000 to 0xFFFF] Defined types: 0x0001 HallD FIRMWARE_REV_MAJOR (RO): Major firmware revision number FIRMWARE_REV_MINOR (RO): Minor firmware revision number 28 February

16 Register: CpuStatus Address Offset: 0x0008 Reset State: 0x0000FFFC BOOTED BOOTED (RO): 0 GTP Linux server not running 1 GTP Linux server is running Register: Hostname[] Address Offset: 0x000C, 0x0010, 0x0014, 0x0018, 0x , 0x , 0x HOSTNAME_CHAR3,7,11,15 HOSTNAME_CHAR2,6,10,14 HOSTNAME_CHAR1,5,9,13 HOSTNAME_CHAR0,4,8,12 HOSTNAME_CHARx(RO): NULL terminated string (maximum length is 15 characters). This string is the GTP Linux hostname intended to be read from I2C to then establish a connection via Ethernet. 28 February

17 4.2 Clk Peripheral Registers Section (Peripheral offset = 0x0100) This peripheral is responsible for configuring and monitoring the clock signals used to drive the GTP logic and Serdes. Register: Ctrl Address Offset: 0x0000 Reset State: 0x CLKRESET CLKSRC CLKRESET (RW): 1 GTP PLL reset asserted. 0 GTP PLL reset released. CLKSRC (RW): 0 or 3 Clock source disabled. 1 VXS SWB 250MHz clock source used. 2 Internal 250MHz clock source used. Note: CLKRESET should be asserted when CLKSRC is being changed or there is no clock source. Only when CLKSRC has been set to a stable input clock should CLKRESET be released. Register: Status Address Offset: 0x0004 Reset State: 0xXXXXXXXX R_LOCK L_LOCK GCLK_LOCK GCLK_LOCK(RO): L_LOCK(RO): R_LOCK(RO): 1 - Global clock PLL is locked. 0 not locked. 1 Left side Serdes transmit clock PLL is locked. 0 not locked. 1 Right side Serdes transmit clock PLL is locked. 0 not locked. 28 February

18 4.3 Sd Peripheral Registers Section (Peripheral offset = 0x0200) Signal source muxing, generic pulser, and scalers are handled by the Sd peripheral. Register: ScalerLatch Address Offset: 0x DISABLE DISABLE (RW): 1 Scalers/histograms on GTP are halted. This must be done before reading values. 0 Scalers/histograms on GTP are active and accumulating. Note: Normally the GtpServer app running on the Linux CPU manages the scalers and their control. To read scaler values, see the GtpServer interface documentation in a following chapter. Register: Scalers[]+SD_SCALER_x*4 Address Offset: 0x0004 Reset State: 0xXXXXXXXX SCALER SCALER SCALER SCALER SCALER (RO): 32bit scaler value. The index into Scalers[] correspond to scalers according to this table: Scaler Name Index in Description Scalers[] SD_SCALER_SYSCLK 0 50MHz clock. Used as reference for normalizing SD_SCALER_GCLK 1 250MHz Global clock SD_SCALER_SYNC 2 VXS SWB Sync input SD_SCALER_TRIG1 3 VXS SWB Trig1 input SD_SCALER_TRIG2 4 VXS SWB Trig2 input SD_SCALER_BUSY 5 VXS SWB Busy output (number of times asserted) SD_SCALER_BUSYCYCLES 6 VXS SWB Busy output (number of GCLK cycles asserted) SD_SCALER_FP_IN0 7 Front panel anylevel input 0 SD_SCALER_FP_IN1 8 Front panel anylevel input 1 SD_SCALER_FP_IN2 9 Front panel anylevel input 2 SD_SCALER_FP_IN3 10 Front panel anylevel input 3 28 February

19 SD_SCALER_FP_OUT0 11 Front panel LVDS output 0 SD_SCALER_FP_OUT1 12 Front panel LVDS output 1 SD_SCALER_FP_OUT2 13 Front panel LVDS output 2 SD_SCALER_FP_OUT3 14 Front panel LVDS output 3 Register: PulserPeriod Address Offset: 0x0100 PERIOD PERIOD PERIOD PERIOD PERIOD (RW): Pulser period in 4ns ticks Register: PulserLowCycles Address Offset: 0x0104 LOW_CYCLES LOW_CYCLES LOW_CYCLES LOW_CYCLES LOW_CYCLES(RW): Number of 4ns ticks pulser output is held low during the pulser period. 28 February

20 Register: PulserNPulses Address Offset: 0x0108 COUNT COUNT COUNT COUNT COUNT (R/W): 0x : disable pulser output 0x to 0xFFFFFFFE: number of periods to deliver pulser output 0xFFFFFFFF: infinite cycle count for pulser output Notes: 1) When using fixed count of pulses the pulser must be trigger to start by writing to the PulserStart register Register: PulserStart Address Offset: 0x0110 PULSER_START PULSER_START PULSER_START PULSER_START PULSER_START (WO): Write any value to start pulser operation. The pulse number counter is cleared. Register: PulserDone Address Offset: 0x010C DONE DONE (RO): 0 pulser is still delivering pulses as defined in PulserNPulses 1 pulser is is not active (either disabled or has finished fixed pulse count) 28 February

21 Register: SrcSel[] Address Offset: 0x *SD_SRC_x SRC SRC (RW): Selects the signal source for the output signal (output signal is indicated by the index into SrcSel[]) The SD_SRC_x ID map is used to determine which index in the SrcSel register array to use: SD_SRC_x ID NAME Index in SrcSel Description SD_SRC_TRIG 0 GTP trigger source SD_SRC_SYNC 1 GTP sync source SD_SRC_FP_LVDSOUT0 2 Front Panel LVDS output #0 SD_SRC_FP_LVDSOUT1 3 Front Panel LVDS output #1 SD_SRC_FP_LVDSOUT2 4 Front Panel LVDS output #2 SD_SRC_FP_LVDSOUT3 5 Front Panel LVDS output #3 Possible values for SRC contents of register: SD_SRC_SEL_x Value Source Signal Description SD_SRC_SEL_0 0 Drive constant 0 SD_SRC_SEL_1 1 Drive constant 1 SD_SRC_SEL_SYNC 2 VXS SWB Sync SD_SRC_SEL_TRIG1 3 VXS SWB Trig1 SD_SRC_SEL_TRIG2 4 VXS SWB Trig2 SD_SRC_SEL_FPIN0 5 Front panel input#0 SD_SRC_SEL_FPIN1 6 Front panel input#1 SD_SRC_SEL_FPIN2 7 Front panel input#2 SD_SRC_SEL_FPIN3 8 Front panel input#3 SD_SRC_SEL_PULSER 18 Pulser output SD_SRC_SEL_BUSY 19 Event builder busy undefined Undefined SD_SRC_SEL_TRIGOUTx 32+x Triggbit bit x output (x from 0 to 31) 28 February

22 4.4 La (Logic Analyzer) Peripheral Registers Section (Peripheral offset = 0x0400) Provides the interface to the logic analyzer. This peripheral is for debugging purposes only. Information on this peripheral can be supplied upon request. 4.5 GxbConfig Peripheral Registers Section (Peripheral offset = 0x0500, 0x0600) Interfaces the Serdes transceivers for low-level settings and testing. This peripheral is for debugging purposes only. Information on this peripheral can be supplied upon request. 4.6 Serdes Peripheral Registers Section (Peripheral offset = 0x1000, 0x1100,, 0x1F00) This peripheral configures and monitors the VXS serial links coming from the SSP. The following table indicates the peripheral address to payload mapping: Peripheral Index VXS Payload Port Peripheral Address 0 PP1 0x PP2 0x PP3 0x PP4 0x PP5 0x PP6 0x PP7 0x PP8 0x PP9 0x PP10 0x PP11 0x1A00 11 PP12 0x1B00 12 PP13 0x1C00 13 PP14 0x1D00 14 PP15 0x1E00 15 PP16 0x1F00 28 February

23 Register: Ctrl Address Offset: 0x0000 Reset State: 0x ERR_EN ERR_RST POWER_DOWN POWER_DOWN (RW): 0 transceiver is disabled. 1 transceiver is enabled. ERR_RST (RW): 1 reset bit error counters on link. Should be done once link is established ERR_EN (RW): 1 enabled bit error counters on link. 0 disabled bit error counters on link. 28 February

24 Register: Status Address Offset: 0x RXSRCRDYN TXLOCK CHANNELUP LANEUP1 LANEUP0 - - HARDERR1 HARDERR0 HARDERRx (RO): 1 transceiver lane x has a hard error. Serdes must be reset to recover 0 transceiver hard error condition not set. LANEUPx (RO): 1 transceiver lane x is established. 0 transceiver lane x is not established. CHANNELUP (RO): 1 transceiver channel is established. 0 transceiver channel is not established. TXLOCK (RO): 1 transceiver reference transmitter PLL is locked 0 transceiver reference transmitter PLL is not locked. RXSRCRDYN (RO): 1 no data is being received by transceiver. 0 data is being received by transceiver. Notes: 1) TXLOCK must be 1 for transceiver to work. If not, check that clock sources are working/setup. 2) LANEUP signals must be 1 for transceiver channel to come up. If not, check connecting board to ensure its enabled and using the same reference clock. 3) Once CHANNELUP = 1, enable bit error monitors to track errors. 28 February

25 Register: ErrTile Address Offset: 0x0018 LANE1_BITERRORS LANE1_BITERRORS LANE0_BITERRORS LANE0_BITERRORS LANEx_BITERRORS (RO): 16bit serdes bit error counter. Must be enabled in Ctrl to count. Register: Status2 Address Offset: 0x001C CRC_PASS LATENCY LATENCY LATENCY (RO): 16bit latency measure from SYNC released to when first data word received by serdes CRC_PASS (RO): 1 received data passed CRC verification for last SYNC period 0 received data failed CRC verification for last SYNC period 28 February

26 4.7 Trigger Peripheral Registers Section (Peripheral offset = 0x2000) This peripheral selects the subsystem streams to enable and deskew then provides these a time coherent set of subsystem data streams for the trigger bit processor peripherals Register: Ctrl Address Offset: 0x0000 TRG_EN7 TRG_EN6 TRG_EN5 TRG_EN4 TRG_EN3 TRG_EN2 TRG_EN1 TRG_EN0 TRG_ENx (RW): 0 subsystem data stream is not enabled in GTP 1 subsystem data stream is enabled in GTP The following table shows the mapping of TRG_EN bits to subsystem streams and their corresponding SSPs: TRG_ENx Subsystem Data Stream SSP Payloads 0 BCal Energy PP15 1 BCal Hit Modules PP15 2 FCal Energy PP13, PP11 3 TagM Hit Pattern PP9 4 TagH Hit Pattern PP7 5 PS Hit Pattern PP5 6 ST Hit Pattern PP3 7 TOF Hit Pattern PP1 28 February

27 4.8 BCal Peripheral Registers Section (Peripheral offset = 0x3000) The BCal peripheral performs some pre-processing and monitoring on the BCal SSP streams that are then fed as a common input to all trigger bit peripherals. Register: Delay Address Offset: 0x0000 DELAY DELAY (RW): 8bit delay value (0-255) in 4ns ticks that is added to the subsystem data stream. This is the delay mechanism to be used for deskewing subsystems with respect to each other. Register: Width Address Offset: 0x0004 WIDTH WIDTH (RW): 8bit width value (0-255) in 4ns ticks. This defines the integration time window for which the BCal Energy is formed as well as BCalHitModules. A value of 0 has an integration window equal to 1 sample, a value of 1 has an integration window equal to 2 samples, and so on 28 February

28 Register: HistDataEnergy Address Offset: 0x0010 DATA DATA DATA DATA DATA (RO): When scalers were enabled, then disabled (using the Sd.ScalerLatch enable/disable control) this register may be read 32 times, which extracts 32bins worth of the BCalEnergy histograms. Each bin represents the count of observed BCalEnergy integrals observed for that bin, where the bin is equal to 2 N (N is equal to the word number, 0-31, read out). Note: Normally the GtpServer app running on the Linux CPU manages the scalers and their control. To read scaler values, see the GtpServer interface documentation in a following chapter. Register: HistDataHits Address Offset: 0x0018 DATA DATA DATA DATA DATA (RO): When scalers were enabled, then disabled (using the Sd.ScalerLatch enable/disable control) this register may be read 32 times, which extracts 32bins worth of the BCalHitModules histograms. Each bin represents the count of observed BCalHitModule integrals observed for that bin, where the bin is equal to the word number, 0-31, read out. Note: Normally the GtpServer app running on the Linux CPU manages the scalers and their control. To read scaler values, see the GtpServer interface documentation in a following chapter. 28 February

29 4.9 FCal Peripheral Registers Section (Peripheral offset = 0x3100) The FCal peripheral performs some pre-processing and monitoring on the FCal SSP streams that are then fed as a common input to all trigger bit peripherals. Register: Delay Address Offset: 0x0000 DELAY DELAY (RW): 8bit delay value (0-255) in 4ns ticks that is added to the subsystem data stream. This is the delay mechanism to be used for deskewing subsystems with respect to each other. Register: Width Address Offset: 0x0004 WIDTH WIDTH (RW): 8bit width value (0-255) in 4ns ticks. This defines the integration time window for which the FCal Energy is formed. A value of 0 has an integration window equal to 1 sample, a value of 1 has an integration window equal to 2 samples, and so on 28 February

30 Register: HistDataEnergy Address Offset: 0x0010 DATA DATA DATA DATA DATA (RO): When scalers were enabled, then disabled (using the Sd.ScalerLatch enable/disable control) this register may be read 32 times, which extracts 32bins worth of the FCalEnergy histograms. Each bin represents the count of observed FCalEnergy integrals observed for that bin, where the bin is equal to 2 N (N is equal to the word number, 0-31, read out). Note: Normally the GtpServer app running on the Linux CPU manages the scalers and their control. To read scaler values, see the GtpServer interface documentation in a following chapter. 28 February

31 4.10 SSGenPattern Peripheral Registers Section (Peripheral offset = 0x3200, 0x3300,, 0x3600) The SSGenPattern peripheral performs some pre-processing and monitoring on the generic pattern based SSP streams that are then fed as a common input to all trigger bit peripherals. Register: Delay Address Offset: 0x0000 DELAY DELAY (RW): 8bit delay value (0-255) in 4ns ticks that is added to the subsystem data stream. This is the delay mechanism to be used for deskewing subsystems with respect to each other. Register: Width Address Offset: 0x0004 WIDTH WIDTH (RW): 8bit width value (0-255) in 4ns ticks. This defines the number of ticks each bit in the pattern is pulse stretched. A value of 0 extends hits by 0ns, a value of 1 extends hits by 4ns, etc 28 February

32 Register: Scalers[] Address Offset: 0x0080+N*4 SCALER SCALER SCALER SCALER DATA[N] (RO): N can range from 0 to 31 where SCALER[N] is the count of hits received on bit N of that hit pattern based subsystem data stream. Note: Normally the GtpServer app running on the Linux CPU manages the scalers and their control. To read scaler values, see the GtpServer interface documentation in a following chapter. 28 February

33 4.11 Trigbit Peripheral Registers Section (Peripheral offset = 0x4000, 0x4100,, 0x4F00) The Trigbit peripheral performs the trigger bit logic that feeds the Trigger Supervisor, TS, GTP inputs. Currently only 16 Trigbit peripherals exists, mapping to the first 16 trigger bit inputs on the GTP data path of the TS. Each Trigbit peripheral receives an identical copy of the data stream as defined by the Trigger peripheral and BCal, FCal, SSGenPattern peripherals. Each Trigbit has its own set of registers to manipulate the input data streams and apply thresholds for define its trigger bit definition. See section 3.3 for a detailed diagram on trigger bit logic and the registers below are used. A summary of the trigger bit equations are provided here where reference the register fields below: BCalHitModules_Trig: BCalHitModules >= BCAL_HITMODULES_THR BFCalEnergy_Trig: BCalEnergy*BCAL_ENERGY_SCALE + FCalEnergy*FCAL_ENERGY_SCALE >= BFCAL_ENERGY_THR TagMPattern_Trig: or_vector(tagmhitpattern & MASK) TagHPattern_Trig: or_vector(taghhitpattern & MASK) PSPattern_Trig: or_vector(pshitpattern(7..0) & MASK(7..0)) && or_vector(pshitpattern(15..8) & MASK(15..8)) STNHits_Trig: Bit_count(STHitPattern & MASK) >= ST_HITCOUNT_THR TOFNHits_Trig: Bit_count(TOFHitPattern & MASK) && or_vector(tofhitpattern(15..0) & MASK(15..0)) && or_vector(tofhitpattern(31..16) & MASK(31..16)) Trig_Out: Ctrl.En0 && (!Ctrl.En1 BCalHitModules_Trig) && (!Ctrl.En2 BFCalEnergy_Trig) && (!Ctrl.En3 TagMPattern_Trig) && (!Ctrl.En4 TagHPattern_Trig) && (!Ctrl.En5 PSPattern_Trig) && (!Ctrl.En6 STNHits_Trig) && (!Ctrl.En7 TOFNHits_Trig) 28 February

34 Register: Ctrl Address Offset: 0x0000 EN7 EN6 EN5 EN4 EN3 EN2 EN1 EN0 EN0 (RW): 1 enable trigger bit equation 0 disable trigger bit equation EN1 (RW): 1 enable BCalHitModules logic in trigger bit equation 0 disable BCalHitModules logic in trigger bit equation EN2 (RW): 1 enable BFCalEnergy logic in trigger bit equation 0 disable BFCalEnergy logic in trigger bit equation EN3 (RW): 1 enable TagMPattern logic in trigger bit equation 0 disable TagMPattern logic in trigger bit equation EN4 (RW): 1 enable TagHPattern logic in trigger bit equation 0 disable TagHPattern logic in trigger bit equation EN5 (RW): 1 enable PSCoincidence logic in trigger bit equation 0 disable PSCoincidence logic in trigger bit equation EN6 (RW): 1 enable STNHits logic in trigger bit equation 0 disable STNHits logic in trigger bit equation EN7 (RW): 1 enable TOFNHits logic in trigger bit equation 0 disable TOFNHits logic in trigger bit equation 28 February

35 Register: TrigOutCtrl Address Offset: 0x0004 WIDTH LATENCY LATENCY LATENCY (RW): (in 4ns ticks) for trigger bit latency. This time is measure from the global release of SYNC at the front-end crates to when the trigger bit sends decisions to the trigger supervisor. It is desirable to set this latency as large as the system can comfortably handle so that when future changes to the trigger logic are made no changes to the LATENCY or capture windows for the front-end crates need to be adjusted. In the case for Hall D, this value would perhaps be around 825 (825*4ns=3300ns). WIDTH (RW): (in 4ns ticks) that the trigger bit output pulse is extended. This may be useful to stretch pulses to ensure reliable capture by receiving end or to suppress multiple assertions of the trigger due to signals hovering around thresholds once fired. Register: TrigOutStatus Address Offset: 0x LATENCY_ERR LATENCY_ERR (RO): This bit is asserted when the LATENCY in the CTRL register is not satisfied. For example, if the trigger logic or links are delaying the trigger bit decision past the desired latency set this bit will be set 1 to indicate this error condition. This condition will not be clear until another SYNC has been issued to flush and restart the trigger data pipeline. 28 February

36 Register: BCalCtrl0 Address Offset: 0x0010 BCAL_ENERGY_SCALE BCAL_ENERGY_SCALE (RW): This is a scaling factor applied to the BCAL_ENERGY integral to be used in the BFCAL logic section of the trigger bit processing. Register: BCalCtrl1 Address Offset: 0x BCAL_HITMODULES_THR BCAL_HITMODULES_THR (RW): This is a threshold applied to the BCAL_HITMODULES integral, making the BCAL_HITMODULES trigger logic decision. Register: FCalCtrl0 Address Offset: 0x0020 FCAL_ENERGY_SCALE FCAL_ENERGY_SCALE (RW): This is a scaling factor applied to the FCAL_ENERGY integral to be used in the BFCAL logic section of the trigger bit processing. 28 February

37 Register: BFCalCtrl0 Address Offset: 0x0030 BFCAL_ENERGY_THR BFCAL_ENERGY_THR BFCAL_ENERGY_THR BFCAL_ENERGY_THR BFCAL_ENERGY_THR (RW): This is a threshold applied to the BFCAL logic section of the trigger bit processing. Register: PSCtrl Address Offset: 0x0040 MASK MASK MASK (RW): This is a mask that is bitwise ANDed with the PSHitPattern data stream to disable certain bits from being used in the PS concidence section of the trigger bit processing. Register: STCtrl0 Address Offset: 0x0050 MASK MASK MASK MASK MASK (RW): This is a mask that is bitwise ANDed with the STHitPattern data stream to disable certain bits from being used in the STNHits section of the trigger bit processing. 28 February

38 Register: STCtrl1 Address Offset: 0x ST_HITCOUNT_THR ST_HITCOUNT_THR (RW): This is a threshold applied to the STNHits section of the trigger bit processing. Register: TOFCtrl0 Address Offset: 0x0060 MASK MASK MASK MASK MASK (RW): This is a mask that is bitwise ANDed with the TOFHitPattern data stream to disable certain bits from being used in the TOFNHits and coincidence section of the trigger bit processing. Register: TOFCtrl1 Address Offset: 0x TOF_HITCOUNT_THR TOF_HITCOUNT_THR (RW): This is a threshold applied to the TOFNHits section of the trigger bit processing. 28 February

39 Register: TagMCtrl Address Offset: 0x0070 MASK MASK MASK MASK MASK (RW): This is a mask that is bitwise ANDed with the TagMHitPattern data stream to disable certain bits from being used in the TagMHitPattern OR section of the trigger bit processing. Register: TagHCtrl Address Offset: 0x0074 MASK MASK MASK MASK MASK (RW): This is a mask that is bitwise ANDed with the TagHHitPattern data stream to disable certain bits from being used in the TagHHitPattern OR section of the trigger bit processing. 28 February

40 Register: Scalers[]+TRIGBIT_SCALER_x*4 Address Offset: 0x0080 Reset State: 0xXXXXXXXX SCALER SCALER SCALER SCALER SCALER (RO): 32bit scaler value. The index into Scalers[] correspond to scalers according to this table: Scaler Name Index in Description Scalers[] TRIGBIT_SCALER_BCALHIT 0 BCalHitModules trigger bit logic TRIGBIT_SCALER_BFCAL 1 BFCalEnergy trigger bit logic TRIGBIT_SCALER_TAGM 2 TagM trigger bit logic TRIGBIT_SCALER_TAGH 3 TagH trigger bit logic TRIGBIT_SCALER_PS 4 PS trigger bit logic TRIGBIT_SCALER_ST 5 ST trigger bit logic TRIGBIT_SCALER_TOF 6 TOF trigger bit logic TRIGBIT_SCALER_TRIGOUT 7 Trigbit output 28 February

41 5 Example sequence for board initialization Certain sequences must be following in order for the GTP configuration to successfully store registers, acquire seriail links, and process trigger logic. The following information will outline a sequence for successful configuration for the typical VXS configuration. 1. Identify and connect to GTP a. Using I 2 C, read Cfg.BoardId to confirm GTP board identity b. Using I 2 C, read Cfg.FirmwareRev to confirm GTP firmware compatibility with driver c. Using I 2 C, read Cfg.CpuStatus bit 0 to check if GTP Linux server app is running d. If all above checks are valid, use I 2 C to read Cfg.Hostname and finally connect to the GtpServer app over Ethernet according to the outlined protocol described below. e. Verify Ethernet connection with GtpServer by reading Cfg.BoardId once again. All communication with the GTP shall now go over the Ethernet interface. 2. Clock, Trig, Sync, Busy setup a. Once the VXS crate clock from the TI is set to the running source and is stable, set Clk.Ctrl to use the VXS clock source and assert reset. b. Deassert Clk.Ctrl reset, delay >10ms, then confirm all clock PLLs are locked in Clk.Status (if not there is a problem with the source clock selection) c. Set Sd.SetSrcSel[SD_SRC_TRIG] to SD_SRC_SEL_TRIG1 (Trig is from SWB Trig1) d. Set Sd.SetSrcSel[SD_SRC_SYNC] to SD_SRC_SEL_SYNC (Sync is from SWB Sync) 3. Enable/Reset Serial links from SSP a. Serdes[].Ctrl = 0x1, then Serdes[].Ctrl = 0x0 for existing SSP payloads (enable populated serdes) b. Serdes[].Ctrl = 0x1 for non-existing SSP payloads (disable unpopulated serdes) 4. Verify Serial links from SSP (once SSPs are configured) a. Check Serdes[].Status bit12 to verify channel is up on all enabled SSP payloads. If channel is not up a reset may be needed (go back to step 3), possibly also on the SSP side. Print a bit decoding of the Serdes[].Status to help diagnose the issue. b. If channel is up, enable and reset the bit error counter: Serdes[].Ctrl = 0xC00 c. Note, steps 1-3 only need to happen during crate initialization, step 4 and beyond can be repeated any number of times to change settings between runs 5. General Trigger configuration a. Write Trigger.Ctrl the desired pattern for the subsystems desired to be used in the trigger. b. Configure each of the subsystems desired to be used as defined in (a). That is, configure the subsystem deskew delays and coincidence widths on the BCal, FCal, and SSGenPattern peripherals if they are to be used. 6. Trigger bit configuration a. For disabled TriggerBits, set Trigbit[].Ctrl = 0. For enabled Trigbits, set Trigbit[].Ctrl to enable the logic elements desired for coincidence to create a trigger. The remain lines are for enabled TriggerBits 28 February

42 b. Set Trigbit[].TrigOutCtrl to desired latency and width (these are probably identical to all trigbits) c. Now configure the thresholds and parameters for all parts of used trigger logic 7. Starting the run a. To begin the trigger data flow, Assert SYNC for a minimum of 4us, then release. Data will begin to flow from the FADC->CTP->SSP->GTP on the release of SYNC. b. Wait for at least the trigger latency time after SYNC was released (a few us) c. Check each enabled Serdes[].Status bit 14 to see that RXSRCRDYN = 0 indicating trigger data is flowing. If it is a 1, trace back the links to find where the data begins to flow to find the culprit modules. Restart step 7 or earlier depending on the action taken to correct if there was an issue. d. Check each enabled Trigbit[].Status bit 0 to verify it is 0, if it is a 1 then the latency check has failed and delays/latency requirements likely need to be adjusted e. At this point the Trigbit[].Scalers should be firing at expected physics rates. The TS input counters should also be firing Release the triggers (in the TS of coarse)! 28 February

43 6 Ethernet Interface to GTP The Ethernet interface is the main path to be used for communication with the GTP. This interface provides the ability to: perform firmware updates, read/write registers, and retrieve buffered copies of scalers. Each of these will be discussed in detail. 6.1 Firmware updates Firmware for the GTP follows a few steps: 1. After Quartus compilation, use the convert programming files to generate a 128Mbit GTP.pof file from the compiler generated GTP.sof 2. Run GTP_build_rbf.sh to convert the GTP.pof file to GTP.rbf. Note that this.rbf file is slightly different from what you get if you convert the GTP.sof to GTP.rbf in the file converter This is a critical different so these instructions must be followed! 3. The GTP.rbf generated in step 2 is now copied to the GTP Linux file system. Use the command: scp./gtp.rbf root@dagtp1:~ 4. ssh into the GTP, e.g. ssh root@dagtp1 5. In the root home directory (where the GTP.rbf file was uploaded) run the command:./gtp_burn GTP.rbf This script will copy the GTP.rbf file to the FPGA flash memory. A simple program should be created at some point to do a file comparision between GTP.rbf and /dev/mtdblock5 to verify and reboot the FPGA. Currently a power cycle should be issued to force the GTP to reload the firmware (accessible register do exist to reboot the FPGA, but need to be tested!) 6.2 Register & Scalers Accesses The GTP runs a TCP based socket server that defines several messages to access registers and scalers. Please refer to the CrateMsgClient.h file for a ROOT based version of the client that provides a good example that can easily be change to run under Linux with stdc libraries. The client establishes a connect that is intended to remain open to issue request. The main protocol features are reading/writing single registers or blocks of registers and reading scalers. 28 February

44 7 - Power Supply and Current Consumption 8 - VXS Pinout Table VXS Port RX0 TX0 RX1 TX1 RX2 TX2 RX3 TX3 SCL PP13 SSP Busy PP11 PP15 SSP Busy PP9 PP13 SSP Busy PP7 PP11 SSP Busy PP5 PP9 SSP Busy PP3 PP7 SSP Busy PP1 PP5 SSP Busy PP2 PP3 SSP Busy SDA LinkUp LinkUp LinkUp LinkUp LinkUp LinkUp LinkUp LinkUp VXS Port RX0 TX0 RX1 TX1 RX2 TX2 RX3 TX3 SCL PP4 PP1 SSP Busy PP6 PP2 SSP Busy PP8 PP4 SSP Busy PP10 PP6 SSP Busy PP12 PP8 SSP Busy PP14 PP10 SSP Busy PP16 PP12 SSP Busy PP14 SSP Busy SDA LinkUp LinkUp LinkUp LinkUp LinkUp LinkUp LinkUp LinkUp VXS Port B1 B2 B3 B4 RX0 Trig 1 Clock Diff Pair* TX0 RX1 Trig 2 TX1 TI GTP RX RX2 Sync TX2 RX3 TI Busy TX3 TI GTP TX SCL SCL* TI_SCL SDA SDA* TI_SDA 28 February

Using the FADC250 Module (V1C - 5/5/14)

Using the FADC250 Module (V1C - 5/5/14) Using the FADC250 Module (V1C - 5/5/14) 1.1 Controlling the Module Communication with the module is by standard VME bus protocols. All registers and memory locations are defined to be 4-byte entities.

More information

BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design

BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design Valeh Valiollahpour Amiri (vv2252) Christopher Campbell (cc3769) Yuanpei Zhang (yz2727) Sheng Qian ( sq2168) March 26, 2015 I) Hardware

More information

The DAQ/Trigger Hardware Systems for Jefferson Lab's 12GeV Experimental Programs

The DAQ/Trigger Hardware Systems for Jefferson Lab's 12GeV Experimental Programs The DAQ/Trigger Hardware Systems for Jefferson Lab's 12GeV Experimental Programs Chris Cuevas Fast Electronics Group Experimental Nuclear Physics Division Page 1 Outline Focus of this talk will cover the

More information

Nuclear Physics Division Data Acquisition Group. Description and Technical Information for the VME Trigger Interface and Distribution (TID) Module

Nuclear Physics Division Data Acquisition Group. Description and Technical Information for the VME Trigger Interface and Distribution (TID) Module Nuclear Physics Division Data Acquisition Group Description and Technical Information for the VME Trigger Interface and Distribution (TID) Module J. William Gu (jgu@jlab.org) Aug. 2 nd, 2011 Table of Contents

More information

Description and Technical Information for Version 4 Trigger Supervisor (TS) Module

Description and Technical Information for Version 4 Trigger Supervisor (TS) Module Nuclear Physics Division Data Acquisition Group Description and Technical Information for Version 4 Trigger Supervisor (TS) Module J. William Gu Updated on: Mar. 16, 2017 Table of Contents Section Title

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

TTC/TTS Tester (TTT) Module User Manual

TTC/TTS Tester (TTT) Module User Manual TTC/TTS Tester (TTT) Module User Manual Eric Hazen hazen@bu.edu, Christopher Woodall cwoodall@bu.edu, Charlie Hill chill90@bu.edu May 24, 2013 1 Contents 1 Overview 3 2 Quick Start Guide 4 3 Hardware Description

More information

Module Performance Report. ATLAS Calorimeter Level-1 Trigger- Common Merger Module. Version February-2005

Module Performance Report. ATLAS Calorimeter Level-1 Trigger- Common Merger Module. Version February-2005 Module Performance Report ATLAS Calorimeter Level-1 Trigger- Common Merger Module B. M. Barnett, I. P. Brawn, C N P Gee Version 1.0 23 February-2005 Table of Contents 1 Scope...3 2 Measured Performance...3

More information

FT Cal and FT Hodo DAQ and Trigger

FT Cal and FT Hodo DAQ and Trigger FT Cal and FT Hodo DAQ and Trigger Outline FT-Cal and FT-Hodo read-out electronics FT-Cal and FT-Hodo DAQ and trigger FADC250 firmware CTP firmware for FT-Cal and FT-Hodo FT-Cal and FT-Hodo crates and

More information

CLAS12 DAQ & Trigger Status and Timeline. Sergey Boyarinov Oct 3, 2017

CLAS12 DAQ & Trigger Status and Timeline. Sergey Boyarinov Oct 3, 2017 CLAS12 DAQ & Trigger Status and Timeline Sergey Boyarinov Oct 3, 2017 Notation ECAL old EC (electromagnetic calorimeter) PCAL preshower calorimeter DC drift chamber HTCC high threshold cherenkov counter

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Triple-Speed Ethernet and On-Board

More information

Micro-Research Finland Oy Välitalontie 83 C, FI Helsinki, Finland. Four-Channel Timer 4CHTIM Technical Reference Contents

Micro-Research Finland Oy Välitalontie 83 C, FI Helsinki, Finland. Four-Channel Timer 4CHTIM Technical Reference Contents Date: 03 June 2005 Issue: 1 Page: 1 of 17 Author: Jukka Pietarinen Four-Channel Timer 4CHTIM Technical Reference Contents Introduction...3 Four-Channel Timer Block Diagrams...3 Delay Channel...3 RF Clock

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Description and Technical Information for the VXS Signal Distribution Module

Description and Technical Information for the VXS Signal Distribution Module Nuclear Physics Division Fast Electronics Group Description and Technical Information for the VXS Signal Distribution Module Summer/Fall 2010 Fast Electronics Group Jefferson ab Table of Contents Section

More information

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Quick Start Guide...

More information

128 CH TDC-SCALER For 10x10 GEM

128 CH TDC-SCALER For 10x10 GEM 128 CH TDC-SCALER For 10x10 GEM SEA Technical Note Issue: Draft Revision: 0.9 Reference: INFN no reference Created: 25 th Nov 2010 Last modified: 1 st Feb 2013 Prepared By: A. Balla, P. Ciambrone, F.Murtas

More information

PCI-4IPM Revision C. Second Generation Intelligent IP Carrier for PCI Systems Up to Four IndustryPack Modules Dual Ported SRAM, Bus Master DMA

PCI-4IPM Revision C. Second Generation Intelligent IP Carrier for PCI Systems Up to Four IndustryPack Modules Dual Ported SRAM, Bus Master DMA PCI-4IPM Revision C Second Generation Intelligent IP Carrier for PCI Systems Up to Four IndustryPack Modules Dual Ported SRAM, Bus Master DMA REFERENCE MANUAL 781-21-000-4000 Version 2.1 April 2003 ALPHI

More information

Low Latency 100G Ethernet Design Example User Guide

Low Latency 100G Ethernet Design Example User Guide Low Latency 100G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 16.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start Guide...

More information

Intel MAX 10 High-Speed LVDS I/O User Guide

Intel MAX 10 High-Speed LVDS I/O User Guide Intel MAX 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 High-Speed LVDS

More information

PCI-HPDI32A-COS User Manual

PCI-HPDI32A-COS User Manual PCI-HPDI32A-COS User Manual Preliminary 8302A Whitesburg Drive Huntsville, AL 35802 Phone: (256) 880-8787 Fax: (256) 880-8788 URL: www.generalstandards.com E-mail: support@generalstandards.com User Manual

More information

Dominique Gigi CMS/DAQ. Siena 4th October 2006

Dominique Gigi CMS/DAQ. Siena 4th October 2006 . CMS/DAQ overview. Environment. FRL-Slink (Front-End Readout Link) - Boards - Features - Protocol with NIC & results - Production.FMM (Fast Monitoring Module) -Requirements -Implementation -Features -Production.Conclusions

More information

BES-III off-detector readout electronics for the GEM detector: an update

BES-III off-detector readout electronics for the GEM detector: an update BES-III off-detector readout electronics for the GEM detector: an update The CGEM off-detector collaboration ( INFN/Univ. FE, INFN LNF, Univ. Uppsala ) 1 Outline Reminder Update on development status Off-detector

More information

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen Compute Node Design for DAQ and Trigger Subsystem in Giessen Justus Liebig University in Giessen Outline Design goals Current work in Giessen Hardware Software Future work Justus Liebig University in Giessen,

More information

Low Latency 40G Ethernet Example Design User Guide

Low Latency 40G Ethernet Example Design User Guide Low Latency 40G Ethernet Example Design User Guide Subscribe UG-20025 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Quick Start Guide...1-1 Directory Structure... 1-2 Design Components...

More information

Pretty Good Protocol - Design Specification

Pretty Good Protocol - Design Specification Document # Date effective October 23, 2006 Author(s) Ryan Herbst Supersedes Draft Revision 0.02 January 12, 2007 Document Title Pretty Good Protocol - Design Specification CHANGE HISTORY LOG Revision Effective

More information

FADC250 User s Manual

FADC250 User s Manual FADC250 User s Manual Table of Contents 1. How to use this document 2. VME64x Flash ADC Module Specifications 3. Using the FADC250 module 4. FADC250 Data Format 5. FIRMWARE for FADC250 Ver2 ADC FPGA 6.

More information

Vertex Detector Electronics: ODE to ECS Interface

Vertex Detector Electronics: ODE to ECS Interface Vertex Detector Electronics: ODE to ECS Interface LHCb Technical Note Issue: 1 Revision: 0 Reference: LHCb 2000-012 VELO Created: 1 February 2000 Last modified: 20 March 2000 Prepared By: Yuri Ermoline

More information

CLAS12 DAQ, Trigger and Online Computing Requirements. Sergey Boyarinov Sep 25, 2017

CLAS12 DAQ, Trigger and Online Computing Requirements. Sergey Boyarinov Sep 25, 2017 CLAS12 DAQ, Trigger and Online Computing Requirements Sergey Boyarinov Sep 25, 2017 Notation ECAL old EC (electromagnetic calorimeter) PCAL preshower calorimeter DC drift chamber HTCC high threshold cherenkov

More information

4I74 QUADRATURE COUNTER MANUAL

4I74 QUADRATURE COUNTER MANUAL 4I74 QUADRATURE COUNTER MANUAL 1.2 This page intentionally not blank Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

10Gb Ethernet PCS Core

10Gb Ethernet PCS Core July 2002 Features Complete 10Gb Ethernet Physical Coding Sublayer (PCS) Solution Based on the ORCA 10 Gbits/s Line Interface (ORLI10G) FPSC, Enabling Flexible10GbE LAN/WAN Application Solutions. IP Targeted

More information

ATM-DB Firmware Specification E. Hazen Updated January 4, 2007

ATM-DB Firmware Specification E. Hazen Updated January 4, 2007 ATM-DB Firmware Specification E. Hazen Updated January 4, 2007 This document describes the firmware operation of the Ethernet Daughterboard for the ATM for Super- K (ATM-DB). The daughterboard is controlled

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

cpci-dart Base-Board & Daughter-Board

cpci-dart Base-Board & Daughter-Board DYNAMIC ENGINEERING 150 DuBois, Suite C Santa Cruz, CA 95060 (831) 457-8891 Fax (831) 457-4793 http://www.dyneng.com sales@dyneng.com Est. 1988 User Manual cpci-dart Base-Board & Daughter-Board Eight-Channel

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

10-Gbps Ethernet Hardware Demonstration Reference Design

10-Gbps Ethernet Hardware Demonstration Reference Design 10-Gbps Ethernet Hardware Demonstration Reference Design July 2009 AN-588-1.0 Introduction This reference design demonstrates wire-speed operation of the Altera 10-Gbps Ethernet (10GbE) reference design

More information

EMBED2000+ Data Sheet

EMBED2000+ Data Sheet EMBED2000+ Data Sheet Description The Ocean Optics EMBED2000+ Spectrometer includes the linear CCD-array optical bench, plus all the circuits necessary to operate the array and convert to a digital signal.

More information

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

AN 690: PCI Express DMA Reference Design for Stratix V Devices

AN 690: PCI Express DMA Reference Design for Stratix V Devices AN 690: PCI Express DMA Reference Design for Stratix V Devices an690-1.0 Subscribe The PCI Express Avalon Memory-Mapped (Avalon-MM) DMA Reference Design highlights the performance of the Avalon-MM 256-Bit

More information

MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE

MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE USER MANUAL V1.0 Microtronix Datacom Ltd 126-4056 Meadowbrook Drive London, ON, Canada N5L 1E3 www.microtronix.com Document Revision History This user guide

More information

Update on PRad GEMs, Readout Electronics & DAQ

Update on PRad GEMs, Readout Electronics & DAQ Update on PRad GEMs, Readout Electronics & DAQ Kondo Gnanvo University of Virginia, Charlottesville, VA Outline PRad GEMs update Upgrade of SRS electronics Integration into JLab DAQ system Cosmic tests

More information

Dynamic Reconfiguration of PMA Controls in Stratix V Devices

Dynamic Reconfiguration of PMA Controls in Stratix V Devices Dynamic Reconfiguration of PMA Controls in Stratix V Devices AN-645-1.0 Application Note This application note describes how to use the transceiver reconfiguration controller to dynamically reconfigure

More information

Nios Embedded Processor Development Board

Nios Embedded Processor Development Board Nios Embedded Processor Development Board July 2003, ver. 2.2 Data Sheet Introduction Development Board Features Functional Overview This data sheet describes the features and functionality of the Nios

More information

Terasic THDB-SUM SFP. HSMC Terasic SFP HSMC Board User Manual

Terasic THDB-SUM SFP. HSMC Terasic SFP HSMC Board User Manual Terasic THDB-SUM SFP HSMC Terasic SFP HSMC Board User Manual Document Version 1.00 AUG 12, 2009 by Terasic Introduction Page Index INTRODUCTION... 1 1.1 1.1 FEATURES... 1 1.2 1.2 ABOUT THE KIT... 2 1.3

More information

Intel Acceleration Stack for Intel Xeon CPU with FPGAs Version 1.2 Release Notes

Intel Acceleration Stack for Intel Xeon CPU with FPGAs Version 1.2 Release Notes Intel Acceleration Stack for Intel Xeon CPU with FPGAs Version 1.2 Updated for Intel Acceleration Stack for Intel Xeon CPU with FPGAs: 1.2 Subscribe Latest document on the web: PDF HTML Contents Contents

More information

TOF Electronics. J. Schambach University of Texas Review, BNL, 2 Aug 2007

TOF Electronics. J. Schambach University of Texas Review, BNL, 2 Aug 2007 TOF Electronics J. Schambach University of Texas Review, BNL, 2 Aug 2007 1 Outline Electronics Overview Trigger & DAQ Interfaces Board Status, Tests & Plans 2 Electronics for One Side 3 Tray Level Electronics

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

Functional Specification

Functional Specification EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH ORGANISATION EUROPEENE POUR LA RECHERCHE NUCLEAIRE White Rabbit Switch Functional Specification Version: 0.c Date: September 6 of 2010. Author: J. Gabriel Ramírez

More information

PXIe FPGA board SMT G Parker

PXIe FPGA board SMT G Parker Form : QCF51 Date : 6 July 2006 PXIe FPGA board SMT700 1.5 20 th November 2009 G Parker Sundance Multiprocessor Technology Ltd, Chiltern House, Waterside, Chesham, Bucks. HP5 1PS. This document is the

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Models 72664, Model 74664 Model 73664 General Information Models 72664, are members of the Cobalt family of high-performance CompactPCI s based on the Xilinx Virtex-6 FPGA. They

More information

Nuclear Physics Division Data Acquisition Group

Nuclear Physics Division Data Acquisition Group Nuclear Physics Division Data Acquisition Group Description and Technical Information for the PCI_express Trigger Interface (TIpcie) Module William Gu (jgu@jlab.org) Updated: June 14, 2016 Table of Contents

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari Data Acquisition in Particle Physics Experiments Ing. Giuseppe De Robertis INFN Sez. Di Bari Outline DAQ systems Theory of operation Case of a large experiment (CMS) Example of readout GEM detectors for

More information

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 6 Intel Galileo and Edison Prototype Development Platforms 1 Intel Galileo Gen 2 Boards Based on the Intel Pentium architecture Includes features of single threaded, single core and 400 MHz constant

More information

Section III. Transport and Communication

Section III. Transport and Communication Section III. Transport and Communication This section describes communication and transport peripherals provided for SOPC Builder systems. This section includes the following chapters: Chapter 16, SPI

More information

5I24 ANYTHING I/O MANUAL

5I24 ANYTHING I/O MANUAL 5I24 ANYTHING I/O MANUAL Version 1.5 This page intentionally not blank Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Electronics on the detector Mechanical constraints: Fixing the module on the PM base.

Electronics on the detector Mechanical constraints: Fixing the module on the PM base. PID meeting Mechanical implementation ti Electronics architecture SNATS upgrade proposal Christophe Beigbeder PID meeting 1 Electronics is split in two parts : - one directly mounted on the PM base receiving

More information

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices May 2008, version 1.0 Application Note 518 Introduction Stratix III device family are one of the most architecturally advanced,

More information

Intel Stratix 10 Clocking and PLL User Guide

Intel Stratix 10 Clocking and PLL User Guide Intel Stratix 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 Clocking

More information

1 Do not confuse the MPU with the Nios II memory management unit (MMU). The MPU does not provide memory mapping or management.

1 Do not confuse the MPU with the Nios II memory management unit (MMU). The MPU does not provide memory mapping or management. Nios II MPU Usage March 2010 AN-540-1.0 Introduction This application note covers the basic features of the Nios II processor s optional memory protection unit (MPU), describing how to use it without the

More information

User's Manual. PXI Power Distribution Module

User's Manual. PXI Power Distribution Module User's Manual PXI Power Distribution Module Version 2.5, June 2010 XIA LLC 31057 Genstar Road Hayward, CA 94544 USA Phone: (510) 401-5760; Fax: (510) 401-5761 http://www.xia.com Disclaimer Information

More information

Streaming Readout, the JLab perspective. Graham Heyes Data Acquisition Support Group Jefferson Lab

Streaming Readout, the JLab perspective. Graham Heyes Data Acquisition Support Group Jefferson Lab Streaming Readout, the JLab perspective Graham Heyes Data Acquisition Support Group Jefferson Lab Introduction After the 12 GeV accelerator upgrade all four halls took production data in Spring of this

More information

Timing System Modules

Timing System Modules Timing System Modules Jukka Pietarinen EPICS Collaboration Meeting, Argonne, June 2006 Timing System Functionality based on the APS timing system Redesigned for SLS Series 100 Improved performance for

More information

The White Rabbit Project

The White Rabbit Project WR Project Status 1/ 1 The White Rabbit Project Technical introduction and status report T. W lostowski BE-CO Hardware and Timing section CERN November 11, 2010 WR Project Status 2/ 1 Introduction Outline

More information

Integrated Device Technology, Inc Stender Way, Santa Clara, CA Phone #: (408) Fax #: (408) Errata Notification

Integrated Device Technology, Inc Stender Way, Santa Clara, CA Phone #: (408) Fax #: (408) Errata Notification Integrated Device Technology, Inc. 2975 Stender Way, Santa Clara, CA - 95054 Phone #: (408) 727-6116 Fax #: (408) 727-2328 Errata Notification EN #: IEN01-02 Errata Revision #: 11/5/01 Issue Date: December

More information

DYNAMIC ENGINEERING 150 DuBois, Suite C Santa Cruz, CA (831) Fax (831) Est

DYNAMIC ENGINEERING 150 DuBois, Suite C Santa Cruz, CA (831) Fax (831) Est DYNAMIC ENGINEERING 150 DuBois, Suite C Santa Cruz, CA 95060 (831) 457-8891 Fax (831) 457-4793 www.dyneng.com sales@dyneng.com Est. 1988 User Manual PCIeAlteraCycloneIV Re-configurable Logic with RS-485/LVDS

More information

Remote Update Intel FPGA IP User Guide

Remote Update Intel FPGA IP User Guide Remote Update Intel FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Remote Update Intel FPGA IP User Guide... 3

More information

Nuclear Physics Division Data Acquisition Group

Nuclear Physics Division Data Acquisition Group Nuclear Physics Division Data Acquisition Group FANIO, TI to CAEN_TDC interface board J. William Gu (jgu@jlab.org) Oct. 12, 2012 Table of Contents Section Title Page 1 Introduction 3 2 Purpose of FanioDC

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

PETsys SiPM Readout System

PETsys SiPM Readout System SiPM Readout System FEB/A_v2 FEB/S FEB/I The SiPM Readout System is designed to read a large number of SiPM photo-sensor pixels in applications where a high data rate and excellent time resolution is required.

More information

Drift Chamber Firmware Download Description

Drift Chamber Firmware Download Description Document # Date effective 2 December 2004 Author(s) Pradeep Nagarajan, Ryan Herbst Supersedes Draft Revision 0.02, December 2 2004 Document Title CHANGE HISTORY LOG Revision Effective Date Description

More information

HSMC-NET. Terasic HSMC-NET Daughter Board. User Manual

HSMC-NET. Terasic HSMC-NET Daughter Board. User Manual HSMC-NET Terasic HSMC-NET Daughter Board User Manual CONTENTS Chapter 1 Introduction... 2 1.1 Features... 2 1.2 About the KIT... 3 1.3 Assemble the HSMC-NET Board... 4 1.4 Getting Help... 5 Chapter 2 Architecture...

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

Interlaken IP Core (2nd Generation) Design Example User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide Interlaken IP Core (2nd Generation) Design Example User Guide UG-20051 2017.09.19 Subscribe Send Feedback Contents Contents 1 Quick Start Guide... 3 1.1 Directory Structure... 4 1.2 Design Components...

More information

PCI LVDS 8T 8 Channel LVDS Serial Interface Dynamic Engineering 435 Park Drive, Ben Lomond, CA

PCI LVDS 8T 8 Channel LVDS Serial Interface Dynamic Engineering 435 Park Drive, Ben Lomond, CA PCI LVDS 8T 8 Channel LVDS Serial Interface Dynamic Engineering 435 Park Drive, Ben Lomond, CA 95005 831-336-8891 www.dyneng.com This document contains information of proprietary interest to Dynamic Engineering.

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

Implementing 9.8G CPRI in Arria V GT and ST FPGAs

Implementing 9.8G CPRI in Arria V GT and ST FPGAs 03..06 AN 686 Subscribe This application note describes the implementation of 9.8304 Gbps Common Public Radio Interface (CPRI) using the Arria V GT and Arria V ST FPGA transceivers. The hard physical coding

More information

EXOSTIV Dashboard. User's Guide. Rev February 26,

EXOSTIV Dashboard. User's Guide. Rev February 26, EXOSTIV Dashboard User's Guide Rev. 1.0.12- February 26, 2019 https://www.exostivlabs.com 1 Table of Contents Introduction...4 Exostiv Dashboard...4 EXOSTIV Dashboard: Main controls...4 EXOSTIV Dashboard

More information

Embedded Linux system development training 5-day session

Embedded Linux system development training 5-day session Embedded Linux system development training 5-day session Title Embedded Linux system development training Overview Bootloaders Kernel (cross) compiling and booting Block and flash filesystems C library

More information

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved.

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved. + William Stallings Computer Organization and Architecture 10 th Edition 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. 2 + Chapter 3 A Top-Level View of Computer Function and Interconnection

More information

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote A (Very Hand-Wavy) Introduction to PCI-Express Jonathan Heathcote Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build

More information

Development an update. Aeroflex Gaisler

Development an update. Aeroflex Gaisler European SpaceWire Router Development an update Sandi Habinc Aeroflex Gaisler Demand for SpaceWire Router Both European and international customers have shown interest in SpaceWire router with greater

More information

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB The Benefits of FPGA-Enabled Instruments in RF and Communications Test Johan Olsson National Instruments Sweden AB 1 Agenda Introduction to FPGAs in test New FPGA-enabled test applications FPGA for test

More information

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Craig Ulmer cdulmer@sandia.gov July 26, 2007 Craig Ulmer SNL/CA Sandia is a multiprogram laboratory operated by Sandia Corporation,

More information

Ethernet Networks for the ATLAS Data Collection System: Emulation and Testing

Ethernet Networks for the ATLAS Data Collection System: Emulation and Testing Ethernet Networks for the ATLAS Data Collection System: Emulation and Testing F. Barnes, R. Beuran, R. W. Dobinson, M. J. LeVine, Member, IEEE, B. Martin, J. Lokier, and C. Meirosu Abstract-- This paper

More information

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 High Speed Design Team, San Diego Thursday, July 23, 2009 1 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

Technical Article MS-2503

Technical Article MS-2503 Technical Article MS-2503. Slay Your System Dragons with JESD204B by Ian Beavers, Applications Engineer, Analog Devices, Inc. The JESD204B serial data link interface was developed to support the growing

More information

PCI Express Avalon-MM DMA Reference Design

PCI Express Avalon-MM DMA Reference Design PCI Express Avalon-MM DMA Reference Design AN-690 2016.05.28 Subscribe Send Feedback Contents Contents 1 AN 690:...3 1.1 Deliverables Included with the Reference Design...3 1.2 Reference Design Functional

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

Nios Soft Core. Development Board User s Guide. Altera Corporation 101 Innovation Drive San Jose, CA (408)

Nios Soft Core. Development Board User s Guide. Altera Corporation 101 Innovation Drive San Jose, CA (408) Nios Soft Core Development Board User s Guide Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Nios Soft Core Development Board User s Guide Version 1.1 August

More information

Subject: Jumper, DIP and optional resistor settings for ROACH rev Location of jumpers, switches and resistors on hardware

Subject: Jumper, DIP and optional resistor settings for ROACH rev Location of jumpers, switches and resistors on hardware Technical Memo Number: NRF-KAT7-5.0-MEM-008 To : DBE Team From : Jason Manley, Francois Kapp, David George Date : 20 May 2009 Subject: Jumper, DIP and optional resistor settings for ROACH rev 1.02 Location

More information

Building Gigabit Interfaces in Altera Transceiver Devices

Building Gigabit Interfaces in Altera Transceiver Devices Building Gigabit Interfaces in Altera Transceiver Devices Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 28- nm embedded transceivers found

More information

The ATLAS Level-1 Muon to Central Trigger Processor Interface

The ATLAS Level-1 Muon to Central Trigger Processor Interface The ATLAS Level-1 Muon to Central Processor D. Berge a, N. Ellis a, P. Farthouat a, S. Haas a, P. Klofver a, A. Krasznahorkay a,b, A. Messina a, T. Pauly a, G. Schuler a, R. Spiwoks a, T. Wengler a,c a

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information