Embedded Processing Guide

Size: px
Start display at page:

Download "Embedded Processing Guide"

Transcription

1

2 ii Embedded Processing Selection Guide Table of Contents Introduction to TI Embedded Processing Overview Processor Find and Fit Guide Introduction to TI ARM -Based Embedded Processors TMS320C5000 DSP Platform TMS320C55x DSP Generation TMS320C54x DSP Generation Power Management Products for the C5000 DSP Platform TMS320C6000 DSP Platform TMS320C64x DSP Generation TMS320C645x DSP Generation TMS320C647x DSP Generation TMS320C67x DSP Generation Power Management Products for the C6000 DSP Platform Digital Media Processors with DaVinci Technology Digital Media Processors with DaVinci Technology TMS320DM646x Digital Media Processor TMS320DM644x Digital Media Processors TMS320DM643x Digital Media Processors TMS320DM64x Digital Media Processors TMS320DM3x Digital Media Processors Compatible Analog Products for DaVinci-Based Digital Video Applications OMAP Applications Processors OMAP35x Platform OMAP-L1x Platform Power Management Products for OMAP35x and OMAP-L1x Applications Processors...45 Software and Development Tools expressdsp Software and Development Tools Code Composer Studio IDE and TI Developer Network Development Tools JTAG Debug and expressdsp Data Visualization Operating Systems Solutions Algorithm Standards and Frameworks Digital Media Software Portfolio Getting Started DaVinci Development Tools and Software OMAP Development Tools and Software TI DSP Developer Network Embedded Processing Development Tools Feature Matrix Embedded Processing Support Resources Embedded Processing Support Training Resources TI Embedded Processor Device Nomenclature Complementary Products for the TMS320 DSP Family Linear and Logic Products Data Converters Audio Converters and Controllers Interface Products TMS320C2000 Microcontrollers for Real-Time Control TMS320C2000 Microcontrollers TMS320C2000 New Technology TMS320C2000 New Technology Tools Power Management Products for the C2000 Microcontroller Platform MSP430 Ultra-Low Power Microcontrollers MSP430 Microcontrollers Flash-Based F2xx MCU Family Flash/ROM-Based x1xx MCU Family Flash/ROM-Based x4xx MCU Family Flash-Based F5xx MCU Family Complementary Analog Products for the MSP430 Family Stellaris Family of Microcontrollers Stellaris 32-Bit ARM Cortex -M3 MCUs System Solutions Audio HiRel DSPs Industrial Medical Medical Imaging Portable Medical Smart Metering Telecom Video and Imaging ARM References Introduction to TI ARM-Based Embedded Processors ARM + DSP: TMS320DM6467 Digital Media Processor TMS320DM6446 Digital Media Processor TMS320DM6443 Digital Media Processor TMS320DM6441 Digital Media Processor OMAP3530 Applications Processor OMAP3525 Applications Processor ARM Only: TMS320DM365 Digital Media Processor TMS320DM357 Digital Media Processor TMS320DM355 Digital Media Processor TMS320DM335 Digital Media Processor OMAP3515 Applications Processor OMAP3503 Applications Processor ARM + MCU: Stellaris 32-Bit ARM Cortex -M3 MCUs TI Worldwide Technical Support Internet TI Semiconductor Product Information Center Home Page support.ti.com TI Semiconductor KnowledgeBase Home Page support.ti.com/sc/knowledgebase Product Information Centers Americas Phone +1(972) Brazil Phone Mexico Phone Fax +1(972) Internet/ support.ti.com/sc/pic/americas.htm Europe, Middle East, and Africa Phone European Free Call ASK-TEXAS ( ) International +49 (0) Russian Support +7 (4) Note: The European Free Call (Toll Free) number is not active in all countries. If you have technical difficulty calling the free call number, please use the international number above. Fax +(49) (0) Internet support.ti.com/sc/pic/euro.htm Japan Fax International Domestic Internet/ International support.ti.com/sc/pic/japan.htm Domestic Asia Phone International Domestic Toll-Free Number Toll-Free Number Australia Malaysia China New Zealand Hong Kong Philippines India Singapore Indonesia Taiwan Korea Thailand Fax tiasia@ti.com Internet support.ti.com/sc/pic/asia.htm ti-china@ti.com 2009 Texas Instruments Incorporated Printed in U.S.A. by Southwest Precision Printers, Houston, TX C093008

3 Introduction to TI Embedded Processing Overview 1 Embedded Processing Overview Texas Instruments has the broadest portfolio of scaleable DSP, MCU, differentiated ARM and complementary analog products offering complete system solutions for electronics manufacturers. This portfolio offers the full range of power/performance requirements ensuring the right combination of attributes serving the smallest portable devices to the largest multi-channel systems and everything in between. In addition, TI offers design resources including extensive software (including open source), tools, technical training, in-person and online tech support and engineer-to-engineer forums at e2e.ti.com. Visit for technical literature, systems block diagrams and more. DaVinci Digital Media Processors: Optimized for Digital Video DaVinci technology consists of scalable, programmable signal processing system on chips (SoCs), accelerators and peripherals, optimized to match the price, performance and feature requirements for a broad spectrum of video end equipments. OMAP Applications Processors: Best General-Purpose, Multimedia and Graphics TI s OMAP platform delivers high-performance applications processors and a robust support network allowing for rapid development of multimedia-enhanced devices to general-purpose computer applications that require Linux OS or Windows CE OS. OMAP35x devices target portable navigation devices and media players, Internet appliances and personal medical equipment. OMAP-L1x devices include ARM9 and ARM9 + DSP architectures and offer a variety of peripherals for networking, targeting consumer and industrial applications. Highest Performance: TMS320C6000 DSP Platform The C6000 DSP platform offers the industry s highest-performance single-core and multi-core DSPs ideal for networking, telecommunication, video, imaging, infrastructure, test and equipment, military and industrial applications. The platform includes the C64x high-performance DSPs, C67x floating-point DSPs and the C674x fixed-/floating-point DSPs. Power-Efficient Performance: TMS320C5000 DSP Platform The C5000 DSP platform offers the industry s lowest standby power and advanced automatic power management for portable products like digital music players, VoIP, hands-free accessories, GPS receivers and portable medical equipment. TMS320C Bit Microcontroller for Real-Time Control The C2000 Microcontroller family combines advanced control peripherals with the processing power of a 32-bit core. From the low-cost, high-integration Piccolo to the powerful Delfino floating-point MCU, C2000 MCUs offer a broad range of options, and are ideal for embedded industrial control applications such as digital motor control, digital power supplies, intelligent sensors. MSP430 Ultra-Low Power Microcontroller Platform The MSP430 family of ultra-low power 16-bit RISC mixed-signal processors from TI provides the ultimate solution for batterypowered measurement applications. Using leadership in both mixed-signal and digital technologies, TI has created the MSP430, enabling system designers to simultaneously interface to analog signals, sensors and digital components while maintaining unmatched low power. Stellaris 32-Bit ARM Cortex -M3 MCUs Stellaris 32-bit MCUs combine advanced connectivity options with the generalpurpose processing performance of the industry-standard ARM Cortex-M3 core for cost-conscious applications. Software and Development Tools TMS320 DSPs are supported by expressdsp Software and Development Tools including Code Composer Studio IDE, DSP/BIOS kernel, TMS320 DSP Algorithm Standard and numerous reusable, modular software from the largest Developer Network in the industry. Complementary Analog Products TI offers a range of complementary data converter, power management, amplifiers, interface and logic products to complete your design. Applications Matrix Guideline Audio Automotive Communications Industrial Medical Security Video Wireless Key Feature Digital Media Processors Complete tailored video solution OMAP Applications Processors Low power and high performance C6000 Digital Signal Processors High performance C5000 Digital Signal Processors Power-efficient performance C2000 Microcontrollers Performance, integration for greener industrial applications MSP430 Microcontrollers Ultra-low power Stellaris 32-Bit ARM Cortex-M3 MCUs Open architecture software, rich communications options

4 2 Introduction to TI Embedded Processing Processor Find and Fit Guide Step 1: Do you Need a Processor for Your Application? Count up Yes answers and see the score at the bottom for whether a processor is right for your application. 1. Does your applciation need to run algorithms to interpret, filter, and/or adjust data of real-world signals? Yes No 2. Does your application need to make multiple control decisions based on feedback from sensors? Yes No 3. Does your application need to play or record music and/or video in multiple formats? Yes No 4. Does your application need to process any networking, wireless or WiMAX communications protocols? Yes No 5. Does your application have a GUI (Graphical User Interface)? Yes No 6. Does your application need to access the Internet? Yes No 7. Does your application need to run Linux, Windows CE, other operating system or real-time kernel? Yes No Simple Scoring: 0 Yes = You most likely do not need a processor 1 or 2 Yes = You may need a processor 3 or 4 Yes = You most likely need a processor 5+ Yes = You definitely need a processor Step 2: Finding the Right TI Processor for Your Application. Seven simple but important questions with checkbox answer simplicity that will help identify the most appropriate TI processor family or product to meet your requirements. 1. What is the primary function of your product using this processor? a. Control b. Sensing and measurement c. Audio d. General processing e. Video/image processing f. Communications processing g. 3-D graphics h. Real-time signal processing 2. What is your ranked priority order of your first, second and third most important processor requirement to address? (Write 1, 2 or 3 in three boxes) a. Lowest processor cost b. Fast time to market c. Performance d. Software reuse e. Low power dissipation f. Run Linux or Windows OS g. Low system BOM cost 3. What processor types have you used prior to or are you considering to use for this project? a. General-purpose 32-bit b. DSP 16 or 32 (ADI, C5000, C6000 ) c. MCU 8/16 (e.g., MSP430, PIC) d. Video processors (DM64x, IMX) e. MCU 16/32 (e.g., ARM7, C2000 ) f. FPGA soft cores g. Floating point 4. What classification would most closely fit your processor application? a. Battery powered b. Plug-in (line) powered c. Infrastructure or backbone d. Stand alone e. Gateway or in between f. Other 5. What example I/O would be important for your processor to support? a. Audio input/output b. Control I/O and PWMs c. Video input/output d. Ethernet e. USB f. SATA or SDIO g. PCI, PCI Express, srio h. Wi-Fi or WiMAX i. On-chip ADC or analog I/O j. RF (e.g., ZigBee ) 6. In what language/level do you expect/desire to do most of your programming? a. C or C++ b. Graphical programming (e.g., Simulink ) c. Assembly d. Not me Will buy software e. Java or open source f. Other 7. What price range do you expect to pay for your processor? a. Up to U.S. $2 b. U.S. $2 to $5 c. > U.S. $5 to $10 d. > U.S. $10 to $25 e. > U.S. $25 to $50 f. > U.S. $50 Continued on the following page. Want to find the right processor even faster? MCU Selection Tool Select Check out the new interactive selection tools online with all the features and functions that you need. DSP Selection Tool Select

5 Introduction to TI Embedded Processing Processor Find and Fit Guide 3 Step 3: Processor Platform Fit: The More Blue = Better Fit 1. Primary function? a. Control b. Sensing/Measurement c. Audio d. General processing e. Video/Image processing f. Communications processing g. 3-D graphics h. Real-time signal processing 2. Priority requirement? a. Lowest processor cost b. Fast time to market c. Performance d. Software reuse e. Low power dissipation f. Run Linux or Windows g. Low system BOM cost 3. Processor types? a. General-purpose, 32-bit b. DSP 16-/32-bit c. MCU 8-/16-bit d. Video processor e. MCU 16-/32-bit f. FPGA soft cores g. Floating point 4. Application classification? a. Battery powered b. Plug-in (line) powered c. Infrastructure of backbone d. Stand alone e. Gateway or in between f. Other 5. What I/O is important? a. Audio input/output b. Control I/O and PWMs c. Video input/output d. Ethernet e. USB f. SATA or SDIO g. PCI, PCI Express or srio h. Wi-Fi or WiMAX i. On-chip ADC or analog I/O j. RF (e.g., ZigBee ) 6. Programming language? a. C or C++ b. Graphical programming c. Assembly d. Not me Will buy software e. Java or open source f. Other 7. Price expectation? a. Up to U.S. $2 b. U.S. $2 to $5 c. > U.S. $5 to $10 d. > U.S. $10 to $25 e. > U.S. $25 to $50 f. > U.S. $50 MSP430 MCU TMS320C2000 MCU Stellaris 32-Bit ARM Cortex -M3 MCU TMS320C5000 DSP TMS320C6000 DSP OMAP Applications Processor TMS320DM64x, DM3x Digital Media Processor

6 4 Introduction to TI Embedded Processing Introduction to TI ARM -Based Embedded Processors ARM -Based TI Offerings Overview TI offers a broad range of ARM-based products that address a wide variety of applications while delivering optimum performance, power consumption and system cost. These ARM-based products span a variety of TI s product lines. See the chart below for how these products map to the ARM offerings. Target Applications for TI s ARM-Based Devices ARM processors cover a wide range of performance and features enabling system designers to create solutions that meet their precise requirements. Target applications include: Data processing POS Handheld computing Wired communications Networking Broadcast equipment Wireless communications Handsets LAN/WAN routers Consumer electronics Portable A/V players Digital set-top Digital cameras Network appliances HVAC Gaming equipment Automotive Infotainment Safety and control Body electronics Industrial Medical Automation and drives Metering Power supplies Remote monitoring Building controls Factory automation Test and measurement equipment Capability Computing Capability Control Capability ARM9 32-bit µp Up o 500 MHz ARM to TI device capability overlay TI s ARM-Based Devices Applications Processors DM3x DM644x DM646x OMAP-L1x Microcontrollers ARM Cortex -M3 32-bit MCU Up to 100 MHz ARM Cortex -A8/9 32-bit µp Up to 1 GHz Stellaris LM3Sxx OMAP35x TI Processor CPU MHz Operating System Key Peripherals OMAP3503 ARM Cortex -A8 600 Linux, Windows CE MMC/SD, McBSP, UART, USB 2.2 HS 3-Port, USB 2.0 HS OTG OMAP3515 ARM Cortex-A8 600 Linux, Windows CE MMC/SD, McBSP, UART, USB 2.2 HS 3-Port, USB 2.0 HS OTG OMAP3525 ARM Cortex-A Linux, Windows CE MMC/SD, McBSP, UART, USB 2.2 HS 3-Port, C64x DSP USB 2.0 HS OTG OMAP3530 ARM Cortex-A Linux, Windows CE MMC/SD, McBSP, UART, USB 2.2 HS 3-Port, C64x DSP USB 2.0 HS OTG OMAP-L137 ARM926 + C674x DSP 300/300 Linux, Windows CE, MMC/SD, SDRAM/NAND, EMAC, UART, VxWorks USB 2.0 HS OTG, USB 1.1 OMAP-L138 ARM926 + C674x DSP 300/300 Linux, Windows CE, mddr/ddr2, SDRAM/NAND, SATA, upp, VxWorks EMAC, USB 2.0 HS OTG, USB 1.1 TMS320DM355 ARM , 216, 270 Linux mddr/ddr2, USB 2.0 H/OTG TMS320DM335 ARM , 216 Linux mddr/ddr2, USB 2.0 H/OTG TMS320DM357 ARM Linux EMAC, DDR2, JTAG, USB 2.0 OTG TMS320DM365 ARM , 270, 300 Linux EMAC, mddr/ddr2, HPI, voice codec, USB 2.0 H/OTG TMS320DM6467 ARM , 729/ Linux, Windows CE EMAC, DDR2, USB 2.0, HPI, PCI, ATA C64x+ DSP 297, 365 TMS320DM6446 ARM926 + C64x+ DSP 300/600 Linux, Windows CE EMAC, DDR2, USB 2.0, HPI, ATA, Flash card I/F TMS320DM6443 ARM926 + C64x+ DSP 300/600 Linux, Windows CE EMAC, DDR2, USB 2.0, HPI, ATA, Flash card I/F TMS320DM6441 ARM926 + C64x+ DSP 256/512 Linux, Windows CE EMAC, DDR2, USB2.0, HPI, ATA, Flash card I/F Stellaris LM3S: ARM Cortex-M Schedulers and General purpose 1/3/6/800, 1000 various RTOS Stellaris LM3S: ARM Cortex-M Schedulers and ENET 1588 MAC+PHY 6/8/9000 various RTOS Stellaris LM3S: ARM Cortex-M Schedulers and USB OTG/H/D 3/5/9000 various RTOS Stellaris LM3S: ARM Cortex-M Schedulers and CAN 2/5/8/9000 various RTOS User Interface O/S Complexity Connectivity Complexity

7 TMS320C5000 DSP Platform Silicon 5 TMS320C55x Power-Efficient DSP Generation, Fixed Point Industry s Best Combination of Standby and Dynamic Power Get samples, data sheets, tools and app reports at: Specifications TI has extended its popular TMS320C5000 low-power platform to provide a broader portfolio of the industry s most power-efficient DSPs with standby power as low as 0.12 mw and performance up to 600 MIPs Extremely low power consumption and more power modes extend battery life Higher integration with large on-chip memory and a variety of peripheral offerings on a smaller form factor Software compatible with all C5000 DSPs Easy-to-use development tools and more value-added third-party solutions speed time to market Applications Feature-rich portable voice/audio products, hands-free car kit, noise cancellation headset, low-cost VoIP system and accessories, DECT/USB phone, software-defined radio, portable medical devices (ECG, digital stethoscope, pulse oximeter), fingerprint pattern recognition, metering, measurement and embedded signal processing applications in general TMS320C5504 / C5505 DSPs Best-in-Class Standby and Dynamic Power As low as 18 mw active power 10-mm 10-mm small form factor Four pin-to-pin compatible devices with incremental features and price Richest feature sets as shown in the block diagram TMS320C5507 / C5509A DSPs Optimized for Portable Media / Industrial Applications Dual MAC / MIPs Integrated multi-channel ADC, USB 2.0 full-speed and large on-chip RAM Very low standby power of 0.12 mw TMS320C5501 / C5502 DSPs Price and Performance Leaders Up to 300-MHz clock rate Two/Three multi-channel buffered serial ports (McBSPs),UART, I 2 C TMS320C5510 DSP Ideal for Memory-Intensive Applications Ultra low power 69 µa in deep-sleep Up to 320-KB on-chip memory Increasing Performance/Power Efficiency/System Density 4 Software Compatible TMS320C5000 DSP Largest installed DSP customer base Broad product portfolio Power and cost efficient C5000 Devices 60 GPIO 32-KHz PLL EMIF/ NAND UART MMC/SD 6 IS 2 Client Telecommunication Solutions IS 2 Audio, Voice, Hands-Free Kit, Radio Memory ROM 128 KB IS 2 Portable Medical, Biometrics GPIO MMC/SD C55x DSP Core SPI FFT DARAM 64 KB GPIO LCD IS 2 2 IC 2 Memory SARAM 192/256 KB 13 RTC 4-Ch. 4-Ch. 4-Ch. 4-Ch. DMA DMA DMA DMA C5000 Multicore Devices Telephony, VoIP/Accessories, Communication Embedded Signal Processing, Measurement C5000 DSP Platform Roadmap The C5000 DSPs span the applications spectrum with core performance extended to 300 MHz Timers 10-Bit SAR ADC Peripheral Bus USB 2.0 Slave ANA LDO 4 7 C55x Devices TMS320C5505 DSP Block Diagram The C5505 DSP is one of the latest TI C5000 DSPs with the best-in-class combination of standby and active power and an integration level optimized for portable audio/voice, medical and many other applications. INT JTAG C55x Devices C54x, C55x Devices C54x, C55x Devices 2 7

8 6 TMS320C5000 DSP Platform Silicon TMS320C55x DSP Generation DAT/PRO RAM ROM I-Cache EMIF DMA (ADDR) MMC/ Voltage (V) 1-KU Part Number (Bytes) (Bytes) (Bytes) (Bits) (ch) (Words) USB 2 ADC 3 UART I 2 C RTC McBSP 4 SD LCD Core I/O COM Timers 5 MHz MIPS Packaging Price 1 TMS320VC5501GZZ K 32 K M Y Y HPI BGA TMS320VC5501PGF K 32 K M Y Y HPI LQFP 4.50 TMS320VC5501ZZZ K 32 K M Y Y HPI BGA TMS320VC5502GZZ K 32 K 6 8 M Y Y HPI16/ BGA TMS320VC5502PGF K 32 K 6 8 M Y Y HPI16/ LQFP 6.26 TMS320VC5502ZZZ K 32 K M Y Y HPI16/ BGA TMS320VC5502PGF K 32 K 6 8 M Y Y HPI16/ LQFP 8.08 TMS320VC5502GZZ K 32 K 6 8 M Y Y HPI16/ BGA TMS320VC5502ZZZ K 32 K M Y Y HPI16/ BGA TMS320VC5503GHH 6 64 K 64 K M Y Y 3 1.2/1.35/ HPI /144/ BGA (max) TMS320VC5503PGE 6 64 K 64 K M Y Y 3 1.2/1.35/ HPI /144/ LQFP (max) TMS320VC5503ZHH 6 64 K 64 K M Y Y 3 1.2/1.35/ HPI /144/ BGA (max) TMS320VC5506GHH K M Y1 Y Y BGA 6.50 TMS320VC5506GHHR K M Y1 Y Y BGA 6.50 TMS320VC5506PGE K M Y1 Y Y LQFP 6.50 TMS320VC5506ZHH K M Y1 Y Y BGA TMS320VC5506ZHHR K M Y1 Y Y BGA TMS320VC5507GHH K 64 K M Y1 Y1 Y Y 3 1.2/1.35/ HPI /144/ BGA (max) TMS320VC5507PGE K 64 K M Y1 Y2 Y Y 3 1.2/1.35/ HPI /144/ LQFP (max) TMS320VC5507ZHH K 64 K M Y1 Y1 Y Y 3 1.2/1.35/ HPI /144/ BGA (max) TMS320VC5507ZHHR K 64 K M Y1 Y1 Y Y 3 1.2/1.35/ HPI /144/ BGA (max) TMS320VC5509AGHH K 64 K M Y1 Y1 Y Y /1.35/ HPI /144/ BGA (max) TMS320VC5509AGHHR K 64 K M Y1 Y1 Y Y /1.35/ HPI /144/ BGA (max) TMS320VC5509APGE K 64 K M Y1 Y2 Y Y /1.35/ HPI /144/ LQFP (max) TMS320VC5509AZHH K 64 K M Y1 Y1 Y Y /1.35/ HPI /144/ BGA (max) TMS320VC5509AZHHR K 64 K M Y1 Y1 Y Y /1.35/ HPI /144/ BGA (max) TMS320VC5510AGGW1 320 K 32 K M HPI , , BGA TMS320VC5510AGGW2 320 K 32 K M HPI , , BGA TMS320VC5510AGGWA1 320 K 32 K M HPI , , BGA TMS320VC5510AGGWA2 320 K 32 K M HPI , , BGA TMS320VC5510AZGW1 320 K 32 K M HPI , , BGA TMS320VC5510AZGW2 320 K 32 K M HPI , , BGA TMS320VC5510AZGWA1 320 K 32 K M HPI , , BGA TMS320VC5510AZGWA2 320 K 32 K M HPI , , BGA TMS320VC5510AGPHA2 320 K 32 K M HPI , , BGA TMS320VC5504ZCH 256 K 128 K M Y2 1 Y Y / /2.5/ / / BGA (Muxed 2.8/3.3 w/ I 2 S & GPIO) TMS320VC5505ZCH 320 K 128 K M Y2 Y1 1 Y Y 2 Muxed 1.05/ /2.5/ / / BGA (Muxed w/ I 2 S, 2.8/3.3 w/ I 2 S SPI, & GPIO) UART & GPIO 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order = Full speed 2.0; 2 = High speed = 4-ch 10-bit ADC; 2 = 2-ch 10-bit ADC 4 Multi-channel buffered serial port (McBSP). 5 3 = Two general-purpose timers and one 32-bit DSP/BIOS kernel counter, 2 = Two general-purpose timers. 6 Extended temperature device, 40 to 85 C case temperature operation. 7 Plus 1 additional programmable watchdog timer. 8 MicroStar BGA package. Note: All devices include software PLL. Note: Enhanced plastic and HiRel DSP versions are available for selected DSPs.

9 TMS320C5000 DSP Platform Silicon 7 TMS320C54x DSP Generation, Fixed Point Power-Efficient Performance DSPs Get samples, data sheets, tools and app reports at: Specifications 16-bit fixed-point DSPs Power dissipation as low as 40 mw Single- and multi-core products delivering MIPS performance 1.2-, 1.8-, 2.5-, 3.3- and 5-V versions available Three power-down modes Integrated RAM and ROM configurations Auto-buffered serial port Multi-channel buffered serial port Host port interface Ultra-thin packaging (100-, 128-, 144- and 176-pin LQFPs; 143-, 144-, 176- and 169-pin MicroStar BGAs ) 6-channel DMA controller per core Applications Digital cellular communications, personal communications systems, pagers, personal digital assistants, digital cordless communications, wireless data communications, hands-free car kit, computer telephony, voice over packet, portable Internet audio, modems Features Integrated VITERBI accelerator 40-bit adder and two 40-bit accumulators to support parallel instructions 40-bit ALU with a dual 16-bit configuration capability for dual one-cycle operations multiplier allowing 16-bit signed or unsigned multiplication Four internal buses and dual address generators enable multiple program and data fetches and reduce memory bottleneck Single-cycle normalization and exponential encoding Eight auxiliary registers and a software stack enable advanced fixed-point DSP C compiler Power-down modes for batterypowered applications C54x DSP Generation Block Diagram This block diagram of the C54x DSP is a comprehensive diagram showing all peripheral options. C54x DSPs are optimized to meet the performance, cost and low-power needs of wireless and wireline communications systems as well as emerging applications like IP phones, VoP and portable applications. MicroStar BGA Package Comparison The ultra-small physical size (12 mm 12 mm 1.4 mm) of the C5000 DSP MicroStar BGA (ball grid array) packaging can also help increase the performance per square inch for MIPS-intensive or space-constrained applications. The C5509A DSP is also pictured in a 144-pin LQFP.

10 8 TMS320C5000 DSP Platform Silicon TMS320C54x DSP Generation RAM ROM DAT/PRO EMIF Voltage (V) 1-KU Part Number (Bytes) (Bytes) (ADDR) (Bytes) (Bits) UART McBSP Core I/O COM Timers DMA MHz MIPS Packaging Price 1 TMS320VC5401PGE50 16 K 8 K 128 K/2 M HPI LQFP 3.50 TMS320VC5401GGU50 16 K 8 K 128 K/2 M HPI BGA TMS320VC5401ZGU50 16 K 8 K 128 K/2 M HPI BGA 3.50 TMS320UC5402PGE80 32 K 8 K 128 K/2 M HPI LQFP 5.50 TMS320UC5402GGU80 32 K 8 K 128 K/2 M HPI BGA TMS320UC5402ZGU80 32 K 8 K 128 K/2 M HPI BGA TMS320VC5402GGU K 8 K 128 K/2 M HPI BGA 5.50 TMS320VC5402GGUR10 32 K 8 K 128 K/2 M HPI BGA 5.90 TMS320VC5402PGE K 8 K 128 K/2 M HPI LQFP 5.50 TMS320VC5402PGER10 32 K 8 K 128 K/2 M HPI LQFP 5.90 TMS320VC5402ZGU K 8 K 128 K/2 M HPI BGA 5.50 TMS320VC5402ZGUR10 32 K 8 K 128 K/2 M HPI BGA 5.90 TMS320VC5402APGE16 32 K 32 K 128 K/16 M HPI LQFP TMS320VC5402AGGU16 32 K 32 K 128 K/16 M HPI BGA TMS320VC5402AZGU16 32 K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5404PGE 32 K 128 K 128 K/16 M HPI 8/ LQFP 7.96 TMS320VC5404GGU 32 K 128 K 128 K/16 M HPI 8/ BGA TMS320VC5407PGE 80 K 256 K 128 K/16 M HPI 8/ LQFP 8.93 TMS320VC5407GGU 80 K 256 K 128 K/16 M HPI 8/ BGA TMS320VC5407ZGU 80 K 256 K 128 K/16 M HPI 8/ BGA 8.93 TMS320VC5409PGE K 32 K 128 K/16 M HPI 8/ LQFP 8.47 TMS320VC5409GGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5409ZGU K 32 K 128 K/16 M HPI 8/ BGA 8.47 TMS320VC5409PGE K 32 K 128 K/16 M HPI 8/ LQFP TMS320VC5409GGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5409ZGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5409APGE12 64 K 32 K 128 K/16 M HPI 8/ LQFP TMS320VC5409AGGU12 64 K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5409AZGU12 64 K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5409APGE16 64 K 32 K 128 K/16 M HPI 8/ LQFP TMS320VC5409AGGU16 64 K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5409AZGU16 64 K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5410PGE K 32 K 128 K/16 M HPI LQFP TMS320VC5410GGW K 32 K 128 K/16 M HPI BGA TMS320VC5410ZGW K 32 K 128 K/16 M HPI BGA TMS320VC5410APGE K 32 K 128 K/16 M HPI 8/ LQFP TMS320VC5410AGGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5410AZGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5410APGE K 32 K 128 K/16 M HPI 8/ LQFP TMS320VC5410AGGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5410AZGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5416PGE K 32 K 128 K/16 M HPI 8/ LQFP TMS320VC5416GGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5416ZGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5416PGE K 32 K 128 K/16 M HPI 8/ LQFP TMS320VC5416GGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC5416ZGU K 32 K 128 K/16 M HPI 8/ BGA TMS320VC549PGE80 64 K 32 K 128 K/16 M HPI LQFP TMS320VC549GGU80 64 K 32 K 128 K/16 M HPI BGA TMS320VC549ZGU80 64 K 32 K 128 K/16 M HPI BGA TMS320VC549PGE K 32 K 128 K/16 M HPI LQFP TMS320VC549GGU K 32 K 128 K/16 M HPI BGA TMS320VC549ZGU K 32 K 128 K/16 M HPI BGA TMS320VC549PGE K 32 K 128 K/16 M HPI LQFP TMS320VC549GGU K 32 K 128 K/16 M HPI BGA TMS320VC549ZGU K 32 K 128 K/16 M HPI BGA Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 MicroStar BGA package. Note: All devices include software PLL. Note: Enhanced plastic and HiRel DSP versions are available for selected DSPs.

11 TMS320C5000 DSP Platform Tools, Software and Support TMS320C55x and TMS320C54x DSP Generation Hardware and Software Development Tools Description Part # $U.S. 1 C5000 DSP Starter Kits (DSKs) TMS320C55x Low-Power Optimization DSP Starter Kit (DSK) TMDSDSK5509 (U.S. part number) 495 TMS320C54x DSP Starter Kit (DSK), TMS320C5416 DSP based 2 TMDSDSK5416 (U.S. part number) 395 TMS320C55x DSP Starter Kit (DSK), TMS320C5510 DSP based 2 TMDSDSK5510 (U.S. part number) 395 Evaluation Module TMS320VC5505 Evaluation Module TMDXEVM Medical Development Kit Electrocardiogram Analog Front End Module (AFE) TMDXMDKEK Medical Development Kit Pulse Oximeter AFE TMDXMDKPO Medical Development Kit Digital Stethoscope AFE TMDXMDKDS JTAG Emulators Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095 Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095 Spectrum Digital XDS510 USB Emulator TMDSEMUUSB 1,495 Blackhawk XDS560 JTAG PCI Emulator TMDSEMU560PCI 2,995 Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999 All available Emulators/Analyzers for C5000 DSP Platform Software Development Tools Code Composer Studio Platinum Edition v3.3 Development Tools Bundled with Annual Software Subscription TMDSCCSALL-1 3,595 Supports C6000, C5000, C2000, DaVinci and OMAP processor platforms C6000, C5000, OMAP, DaVinci, C2000 DSP Code Composer Studio Development Tools Annual Software TMDSSUBALL 600 Subscription for Version 3.1 and higher Essential Guide to Getting Started with DSP CD-ROM SPRC119 Free Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools 3 C54x DSP Software Library SPRC099 Free C55x DSP Software Library SPRC100 Free C55x DSP Imaging Software Library SPRC101 Free C54x DSP Chip Support Library SPRC132 Free C55x DSP Chip Support Library SPRC133 Free C5000 DSP Software Provider/List C5000 DSP Development Tool Provider/List 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New tools are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Includes a DSK version of Code Composer Studio Development Tools restricted for use only with the DSP target board included in the kit, power supply and cables. 3 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker), emulator and simulator configurations all limited to 120 days. Please see the tools features matrix on page 59 for more details. 9 C5000 DSP Literature and Related Technical Documentation All documentation and associated literature, user s guides, application notes and software can be found by clicking on the specific device in the parametric table found on the URLs below. TMS320C54x DSP Generation TMS320C55x DSP Generation

12 10 TMS320C5000 DSP Platform Power Management Products Power Management Products for the C5000 DSP Platform Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com Suggested Texas Instruments Power Management Solutions for the C5000 DSP Platform Core and I/O Voltages Non-Synchronous Controller Synchronous Dual-Output Input Voltage LDO (External FET and Diode) Integrated FET Converter Converter 3.3 V TPS736xx TPS64200 TPS62300 TPS V TPS736xx TPS64200 TPS62300 TPS62400 TPS V TLV1117 TPS40190 TPS62110 TPS54386 TPS V TPS5124 TPS54386 I/O supply 3.3 V, current up to 250 ma Core supply down to 1.2 V, current up to 250 ma Example C5000 DSP Power Supply Design V IN C2 Q1 2SC2412K R3 R4 U2 TPS76933DBV 1 5 IN 2 OUT GND 3 4 EN NC/FB + C4 U3 TPS3103K33DBV 6 1 VDD RESET 5 2 PFO GND 4 3 PFI MR R7 V IO RESET Sequencing Circuit R7 EN C1 R2 A2 B2 C2 D2 U1 TPS6230XYZD VIN GND EN SW ADJ M/S FB VOUT A1 B1 C1 D1 L1 C3 V CORE R1 For additional power supply designs for TI DSPs, please visit Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level power requirements to ensure an adequate power supply design is used.

13 TMS320C6000 DSP Platform Silicon 11 TMS320C64x DSP Generation, Fixed Point Highest-Performance and Performance Value DSPs Get samples, data sheets, tools and app reports at: Specifications Broadest portfolio of high-performance DSPs ranging from sub U.S. $10.10 to 3 GHz of raw DSP horsepower Shipping the industry s first 1.2-GHz single-core DSPs Enabling more channels and functionality with high-performance, singlecore and multi-core DSPs Upward 100% object code compatibility within C6000 DSP platform The easiest-to-use integrated development environment with the industry s best optimizing C compiler Applications Wireless infrastructure (adaptive antennas, basestations, gateways), telecom infrastructure (RAS, PBX, VoIP), digital video (conferencing, surveillance, encoders, statistical remultiplexor/broadband routers, network projector, digital signage), imaging (medical, machine vision/inspection, defense/radar/sonar) Features VelociTI.2 architecture extensions with new instructions to accelerate performance in key applications Improved orthogonality with frequently used instructions available in more functional units Double the bandwidth resulting from more registers, wider load/store data paths and enlarged two-level cache Peripherals Enhanced direct memory access controller Peripheral component interconnect Universal test and operation PHY interface for ATM (UTOPIA) Viterbi and Turbo coprocessors External memory interfaces Multi-channel buffered serial ports Host port interfaces Serial RapidIO Gigabit Ethernet MAC (SGMII) Increasing Performance, Memory & Peripherals Software Compatible Ranging from sub U.S. $10.10 to 3.0 GHz 30K+ programmers Millions shipped to date C64x DSP TMS320C6424 DDR2 32 EMIF 16 PCI 33 or VLYNQ RMII/MII or HPI RMII I 2 C C6414/5/6 DSP C6410/2/3/8 DSP OSC PLL JTAG EDMA 3.0 DSP Subsystem L1D 80 KB C64x+ Core L1P 32 KB C6474 DSP C6452/4/5/7 DSP C6421/4 DSP DDR PLL L2 128-KB Cache C64x Multi-Core Next C64x Next C64x Next Timer WDT GPIO McBSP McBSP or McASP Timer 2 PWM 3 UART 2 Please check user guide for MUXing options. High-Performance Multi-Core High-Performance Single-Core Performance Value DSP C6000 DSP Platform Roadmap The C6000 DSP platform includes a wide range of devices that raise the bar in performance, set new levels of cost efficiency and offer on-chip peripheral integration to enable developers of highperformance systems to choose the device that best suits their specific application. Note: Information on DaVinci processor products can be found beginning on page 24. The C642x DSPs come in two flavors the C6421 and C6424 DSPs. These DSPs are pin-for-pin compatible, start from U.S. $10.10 (1-KU volumes) and are completely scalable in speed and/or feature set. Both new DSPs are available in 400, 500 and 600 MHz speeds, and have the same raw processing power, but differ in on-chip memory and peripherals.

14 12 TMS320C6000 DSP Platform Silicon TMS320C64x DSP Generation Performance Value Fixed-Point DSPs Internal RAM (Bytes) L1 Program Cache/ Enhanced Power (W) 2 L1 Data Cache/ DMA CPU Voltage (V) 1-KU Part Number L2 Unified RAM/Cache McBSP (Channels) COM Timers MHz MIPS and L1 Total Core I/O Packaging Price 1 Performance Value TMS320C6410GTS K/16 K/128 K 2 64 HPI 32/ BGA, 23 mm TMS320C6413GTS K/16 K/256 K 2 64 HPI 32/ BGA, 23 mm TMS320C6412AGDK5 16 K/16 K/256 K 2 64 PCI/HPI/EMAC BGA, 23 mm TMS320C6412AGDK6 16 K/16 K/256 K 2 64 PCI/HPI/EMAC BGA, 23 mm TMS320C6412AGDK7 16 K/16 K/256 K 2 64 PCI/HPI/EMAC BGA, 23 mm TMS320C6418GTS K/16 K/512 K 2 64 HPI 32/ BGA, 23 mm TMS320C6418ZTSA K/16 K/512 K 2 64 HPI 32/ BGA, 23 mm TMS320C6424ZWT4 32 K/80 K/128 K 2 64 PCI/HPI/EMAC / / PBGA, 16 mm TMS320C6424ZWT5 32 K/80 K/128 K 2 64 PCI/HPI/EMAC / PBGA, 16 mm TMS320C6424ZWTQ K/80 K/128 K 2 64 PCI/HPI/EMAC / PBGA, 16 mm TMS320C6424ZDUQ K/80 K/128 K 2 64 PCI/HPI/EMAC / PBGA, 23 mm TMS320C6424ZWT6 32 K/80 K/128 K 2 64 PCI/HPI/EMAC / PBGA, 16 mm TMS320C6421ZWT4 48 K/16 K/64 K 1 64 HPI/EMAC / / PBGA, 16 mm TMS320C6421ZWT5 48 K/16 K/64 K 1 64 HPI/EMAC / PBGA, 16 mm TMS320C6421ZWTQ K/16 K/64 K 1 64 HPI/EMAC / PBGA, 16 mm TMS320C6421ZDUQ5 48 K/16 K/64 K 1 64 HPI/EMAC / PBGA, 23 mm TMS320C6421ZWT6 48 K/16 K/64 K 1 64 HPI/EMAC / PBGA, 16 mm Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Assumes 60% CPU utilization, 50% EMIF utilization (133 MHz for 1.4 V, 100 MHz for 1.2 V), 50% writes, 64-bits, 50% bit switching, 2 2-MHz McBSP at 100% utilization, and 2 75-MHz timers at 100% utilization. See SPRAA59 for the TMS320C6410 and TMS320C6413 DSPs. See SPRA967 for the TMS320C6412A DSP. See SPRAA60 for the TMS320C6418 DSP. See SPRAAO9 for the TMS320C6421 and TMS320C6424 DSPs. 3 HPI is selectable, 32 bit or 16 bit. 4 The C6412 can be configured to have either a 32-bit PCI or 32-bit HPI, or a 16-bit HPI with Ethernet MAC. 5 Plus on-chip Viterbi (VCP) coprocessor. 6 HPI interface is 16 bit. 7 Q designates Q100 automotive reliability. Note: Check for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103. TMS320C64x DSP Generation Highest-Performance Fixed-Point DSPs Internal RAM (Bytes) L1 Program Cache/ Enhanced Power (W) 2 L1 Data Cache/ DMA CPU Voltage (V) 1-KU Part Number L2 Unified RAM/Cache McBSP (Channels) COM 3 Timers MHz MIPS and L1 Total Core I/O Packaging Price 1 Highest Performance TMS320C6416TBGLZ1 16K/16K/1M 2+Utopia 4 64 PCI/HPI 32/ BGA, 23 mm TMS320C6416TGLZ8 16K/16K/1M 2+Utopia 4 64 PCI/HPI 32/ BGA, 23 mm TMS320C6416TBGLZ7 16K/16K/1M 2+Utopia 4 64 PCI/HPI 32/ BGA, 23 mm TMS320C6416TGLZ6 16K/16K/1M 2+Utopia 4 64 PCI/HPI 32/ BGA, 23 mm TMS320C6415TBGLZ1 16K/16K/1M 2+Utopia 4 64 PCI/HPI 32/ BGA, 23 mm TMS320C6415TBGLZ8 16K/16K/1M 2+Utopia 4 64 PCI/HPI 32/ BGA, 23 mm TMS320C6415TBGLZ7 16K/16K/1M 2+Utopia 4 64 PCI/HPI 32/ BGA, 23 mm TMS320C6415TBGLZ6 16K/16K/1M 2+Utopia 4 64 PCI/HPI 32/ BGA, 23 mm TMS320C6414TBGLZ1 16K/16K/1M 3 64 HPI 32/ BGA, 23 mm TMS320C6414TBGLZ8 16K/16K/1M 3 64 HPI 32/ BGA, 23 mm TMS320C6414TBGLZ7 16K/16K/1M 3 64 HPI 32/ BGA, 23 mm TMS320C6414TBGLZ6 16K/16K/1M 3 64 HPI 32/ BGA, 23 mm Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Assumes 60% CPU utilization, 50% EMIF utilization (133 MHz for 1.4 V, 100 MHz for 1.2 V), 50% writes, 64-bits, 50% bit switching, 2 2-MHz McBSP at 100% utilization, and 2 75-MHz timers at 100% utilization. See SPRAA45 for TMS320C6414T, TMS320C6415T and TMS320C6416T DSPs. 3 HPI is selectable, 32 bit or 16 bit. 4 UTOPIA pins muxed with a second McBSP. 5 Plus on-chip Turbo (TCP) and Viterbi (VCP) coprocessors. Note: Check for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.

15 TMS320C64x DSP Hardware and Software Development Tools TMS320C6000 DSP Platform Tools and Resources Description Part Number $U.S. 1 Hardware Development Tool TMS320C6416 DSP Starter Kit (DSK) TMDSDSK6416-T (U.S. part number) 495 TMDSDSK6416-TE (European part number) Evaluation Module (EVM) TMS320C6424 Evaluation Module (EVM) TMDXEVM JTAG Emulators Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095 Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095 Spectrum Digital XDS510 USB Emulator TMDSEMUUSB 1,495 Blackhawk XDS560 JTAG PCI Emulator TMDSEMU560PCI 2,995 Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999 XDS560 USB Trace Emulator 2 TMDSEMU560T 9,995 Software Development Tools Code Composer Studio Platinum v 3.3 Development Tools Bundled with Annual S/W Subscription TMDSCCSALL-1 3,595 Supports C6000, C5000, C2000, DaVinci and OMAP processor platforms VLIB Software Library Free VICP Signal Processing Library SPRC847/831 Free C6000, C5000, C2000, DaVinci and OMAP processor CCStudio Development Tools Annual Software TMDSSUBALL 600 Subscription for Version 3.10 and higher Code Composer Studio IDE Free Evaluation Tools SPRC119 ( Free Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools 3 TMS320C6000 DSP Chip Support Library SPRC090 Free TMS320C64x DSP Library SPRC092 Free TMS320C64x DSP Image Library SPRC094 Free 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers New tools are listed in bold red. are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 The XDS560 Trace is designed for use with trace-enabled digital signal processors. Currently the following processors are fully supported by trace: TMS320C6418, TMS320C6416T, TMS320C6415T, TMS320C6414T, TMS320C6413, TMS320C6412, TMS320C6411 and TMS320C6410 processors. 3 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker) and simulator all limited to 120 days. Please see the tools features matrix on page 59 for more details. 13 C64x Literature and Related Technical Documentation All documentation and associated literature, user s guides, application notes and software can be found by clicking on the specific device in the parametric table found on the URL below. TMS320C64x DSP Generation

16 14 TMS320C6000 DSP Platform Silicon TMS320C645x DSP Generation, Fixed Point Highest-Performance DSPs Get samples, data sheets, tools and app reports at: Specifications TMS320C6457 DSP offers superior memory and cache performance, dual-tcp2 accelerators, and Serial RapidIO for DSP-to-DSP, switch and FPGA interconnectivity TMS320C6455 DSP offers Serial RapidIO for DSP-to-DSP, switch and FPGA interconnectivity TMS320C6452 DSP offers Gigabit Ethernet switch with two EMAC ports for ease of scalability Enhanced core enables 20 percent higher cycle performance percent smaller code size from 16-bit compact instructions and SPLOOP buffer Applications Video and voice transcoding, video conferencing servers, high-definition (HD) video encoding and mixer systems, wireless basestation transceivers, HD Radio, medical imaging, photo labs, industrial vision, military, printing and VoIP infrastructure Features TMS320C64x+ core 720 MHz, 850 MHz, 900 MHz, 1 GHz and 1.2 GHz Memory 32-KB L1D, 32-KB L1P cache/sram Up to 2 MB L2 Up to 1-MB cacheable L2 memory Acceleration Viterbi coprocessor (VCP2) Turbo-decoder coprocessor (TCP2) Peripherals Serial RapidIO Gigabit Ethernet MAC Telecom serial interface port (TSIP) Other high-bandwidth peripherals: UTOPIA, PCI-66, HPI Up to two EMIFs: 32-bit DDR2, 64-bit EMIF TMS320C6457 GPIO L2 MEM C64x+ Core L1 D MEM L1 P MEM IC 2 McBSP SGMI UTOPIA PLL TCP2 TCP2 Timers Boot ROM EDMA 3.0 with Switch Fabric TMS320C6457 DSP Block Diagram C6457 DSPs offer superior memory and cache performance, dual-tcp2 accelerators and faster DDR2 interface over C6455 DSPs. TMS320C64x+ DSP Core Benefits and Features Benefits Supporting Architecture Features 20% higher cycle performance improves overall Doubled multiplication bandwidth system performance Instruction set enhancements for FFT, FIR and DCT EDMA 3.0 engine 20 30% smaller code size reduces system cost 16-bit compact instructions SPLOOP buffer Enhanced development Real-time bandwidth management Memory protection Better debug Exception handling Cache coherency visibility TMS320C6452 DDR2 32b VLYNQ EMIF 16b UHPI or PCI66 SGMII SGMII GigSwitch HPI PLL SRIO DDR PLL Switch Fabric/EDMA 3.0 DSP Subsystem L1D 32 KB C64x+ Core L1P 32 KB L2 1.4 MB Cache VCP2 DDR2 JTAG EMIF 64 GPIO McASP or TSIP ( 2) Timer 4 SPI UART The C6452 DSP provides customers lower system cost by offering a greater channel density and more peripheral integration. The C6452 DSP will be offered at both 900 MHz and 720 MHz. I 2 C

17 TMS320C6000 DSP Platform Silicon 15 TMS320C645x DSP Generation Highest-Performance Fixed-Point DSPs Internal RAM (Bytes) L1 Program Cache/ Enhanced Power (W) 2 L1 Data Cache/ DMA Internal Voltage (V) 1-KU Part Number Cores L2 Unified RAM/Cache McASP McBSP TSIP (Channels) COM 3 Timers MHz MIPS Logic Total Core I/O Packaging Price 1 Highest-Performance DSPs TMS320C6457CMH 1 32 K/32 K/2 M 2+Utopia 4 64 Serial RapidIO/HPI/ , 1.8, 688 BGA, 23 mm Gigabit EMAC 1.1 TMS320C6457CMH K/32 K/2 M 2+Utopia 4 64 Serial RapidIO/HPI/ , 1.8, 688 BGA, 23 mm Gigabit EMAC 1.2 TMS320C6455BZTZ K/32 K/2 M 2+Utopia 4 64 Serial RapidIO/HPI/ , 1.8, 697 BGA, 24 mm PCI/Gigabit EMAC 1.5, 1.25 TMS320C6455BZTZ 1 32 K/32 K/2 M 2+Utopia 4 64 Serial RapidIO/HPI/ , 1.8, 697 BGA, 24 mm PCI/Gigabit EMAC 1.5, 1.25 TMS320C6455BZTZ K/32 K/2 M 2+Utopia 4 64 Serial RapidIO/HPI/ , 1.8, 697 BGA, 24 mm PCI/Gigabit EMAC 1.5, 1.2 TMS320C6455BZTZ K/32 K/2 M 2+Utopia 4 64 Serial RapidIO/HPI/ , 1.8, 697 BGA, 24 mm PCI/Gigabit EMAC 1.5, 1.2 TMS320C6454BZTZ K/32 K/1 M 2 64 HPI/PCI/ , 1.8, 687 BGA, 24 mm Gigabit EMAC 1.5, 1.25 TMS320C6454BZTZ 1 32 K/32 K/1 M 2 64 HPI/PCI/ , 1.8, 687 BGA, 24 mm Gigabit EMAC 1.5, 1.25 TMS320C6454BZTZ K/32 K/1 M 2 64 HPI/PCI/ , 1.8, 687 BGA, 24 mm Gigabit EMAC 1.5, 1.2 TMS320C6454BZTZ K/32 K/1 M 2 64 HPI/PCI/ , 1.8, 687 BGA, 24 mm Gigabit EMAC 1.5, 1.2 TMS320C6452ZUT K/32 K/1.4 M SGMII/Gigabit EMAC , BGA, 19 mm Switch/UHPI/PCI/VLYNQ TMS320C6452ZUT K/32 K/1.4 M SGMII/Gigabit EMAC , BGA, 19 mm Switch/UHPI/PCI/VLYNQ 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Assumes the following conditions: 60% CPU utilization; DDR2 at 50% utilization (250 MHz), 50% writes, 32 bits, 50% bit switching; two 2-MHz McBSPs at 100% utilization, 50% switching; two 75-MHz timers at 100% utilization; device configured for HPI32 mode with pull-up resistors on HPI pins; room temperature (25 C). See SPRAAE8 for TMS320C6455 and TMS320C6454 DSPs. See SPRAAR5 for TMS320C6452 DSPs. 3 HPI is selectable, 32 bit or 16 bit. 4 UTOPIA pins muxed with a second McBSP bit configurable timers. 6 Plus on-chip Turbo (TCP) and Viterbi (VCP) coprocessors. Note: Check for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103. Tools available for the TMS320C645x DSP generation are listed on page 17.

18 16 TMS320C6000 DSP Platform Silicon TMS320C647x DSP Generation, Fixed Point Highest-Performance Multi-Core DSPs Get samples, data sheets, tools and app reports at: Specifications Three 1-GHz cores: 48,000 (8-bit) MMACS / 24,000 (16-bit) MMACS peak performance Software backward compatibility allows for easier porting to new DSP Fast core-to-core communications, less cycles/lower latency VCP2 and TCP2 accelerators for significantly speeding up channel decoding operations on-chip, offloading the DSP for other processing Access memory faster with 667- MHz high-performance DDR2 High-speed interconnect enabled by EMAC, AIF and srio SERDES interfaces Applications Communication infrastructure, video infrastructure, high-performance imaging, server blade, military, aerospace and avionics systems, test and measurement solutions, advanced networking equipments, oil exploration and modeling equipments, training and simulation applications Features GHz TMS320C64x+ cores Memory 32-KB L1D, 32-KB L1P cache per core 3 MB total L2 memory Configurable allocation per core Acceleration Viterbi coprocessor (VCP2) Turbo-decoder coprocessor (TCP2) Peripherals Gigabit Ethernet MAC (EMAC) Antenna Interface (AIF) 6 lanes total Serial RapidIO (srio) 2 lanes total 667-MHz, high-performance DDR2 interface McBSP (TDM) TMS320C6474 C64x+ Core L1 Data L1 Prog L2 Memory GPIO PLL IC 2 Timers Others Boot ROM McBSP DDR-2 I/F C64x+ Core L1 Data L1 Prog L2 Memory EDMA3.0 with Switch Fabric 10/100/ 1G Ethernet Antenna Interface L1 Data L1 Prog L2 Memory VCP2 C64x+ Core TCP2 Serial RapidIO TMS320C6474 DSP Block Diagram The highly integrated C6474 DSP integrates three of TI s flagship TMS320C64x+ cores per chip. A large amount on-chip memory and fast speed I/O interface enable high-density and highperformance applications. Software compatibility allows a straightforward code porting from C64x+ -core DSPs system. Watts 10 5 Same performance, 1/3 less power Power consumption ~9W 0 Three 1-GHz C6455 DSPs ~6W One C6474 Multi-Core DSP 50% more performance, same power budget Ex: 25-W DSP power budget 1-GHz DSP 1-GHz DSP 8-GHz raw performance C6474 Multi-Core 1-GHz DSP 1-GHz DSP C6474 Multi-Core 1-GHz DSP 1-GHz DSP C6474 Multi-Core 1-GHz DSP 1-GHz DSP C6474 Multi-Core 12-GHz raw performance 50% more performance per Watt Board with 25-Watt power limit reached More performance for same power budget Integrated Solution Improves Power Efficiency Smaller process node, TI-patented SmartReflex technology and eliminating I/O switch with highly integrated architecture improve power efficiency of C6474 DSP systems.

19 TMS320C6000 DSP Platform 17 TMS320C647x DSP Generation Multi-Core Highest-Performance Fixed-Point DSP Silicon, Tools and Software Internal RAM (Bytes) L1 Program Cache/ Enhanced Power (W) 2 L1 Data Cache/ DMA Internal Voltage (V) 1-KU Part Number Cores L2 Unified RAM/Cache McASP McBSP TSIP (Channels) COM 3 Timers MHz MIPS Logic Total Core I/O Packaging Price 1 Highest-Performance Multi-Core DSPs TMS320C6474ZUN 3 96 K/96 K/3.072 M 2 64 Serial RapidIO / to , BGA, 23 mm SGMII/Gigabit EMAC Smart- Reflex 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Assumes the following conditions: 60% CPU utilization; DDR2 at 50% utilization (250 MHz), 50% writes, 32 bits, 50% bit switching; two 2-MHz McBSPs at 100% utilization, 50% switching; two 75-MHz timers at 100% utilization; room temperature (25 C). See SPRAAX3 for TMS320C6474 DSPs. 3 HPI is selectable, 32 bit or 16 bit bit configurable timers. Note: Check for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103. TMS320C645x and TMS320C647x DSP Generations Hardware and Software Development Tools Description Part Number $U.S. 1 Hardware Development Tool TMS320C6455 DSP Starter Kit (DSK) TMDSDSK Evaluation Modules (EVM) TMS320C6474 DSP Evaluation Module TMDXEVM6474 1,995 TMS320C6457 DSP Evaluation Module TMDXEVM6457 1,995 TMS320C6455 DSP Evaluation Module with srio TMDXEVM6455 (U.S. part number) 1,795 TMS320C6452 DSP Evaluation Module TMDXEVM6452 1,295 JTAG Emulators Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095 Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095 Spectrum Digital XDS510 USB Emulator TMDSEMUUSB 1,495 Blackhawk XDS560 JTAG PCI Emulator TMDSEMU560PCI 2,995 Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999 XDS560 USB Trace Emulator 2 TMDSEMU560T 9,995 Software Development Tools Code Composer Studio (CCStudio) Platinum v 3.3 Development Tools Bundled with Annual S/W Subscription TMDSCCSALL-1 3,595 Supports C6000, C5000, C2000, DaVinci and OMAP processor platforms C6000, C5000, C2000, DaVinci and OMAP processor CCStudio Development Tools Annual Software TMDSSUBALL 600 Subscription for Version 3.10 and higher Code Composer Studio IDE Free Evaluation Tools SPRC119 ( Free Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools 3 TMS320C6000 DSP Chip Support Library SPRC090 Free 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New tools are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 The XDS560 Trace is designed for use with trace-enabled digital signal processors. The following processors are fully supported by trace: TMS320C6452, TMS320C6454 and TMS320C6455 DSPs. 3 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker) and simulator all limited to 120 days. Please see the tools features matrix on page 59 for more details.

20 18 TMS320C6000 DSP Platform Silicon TMS320C67x DSP Generation, Fixed/Floating Point Low-Power DSPs Get samples, data sheets, tools and app reports at: Applications Vehicle diagnostics, Software-Defined Radio (SDR), industrial monitoring, test and measurement equipment (oscilloscopes, seismic analyzers etc.), audio (digital mixers, teleconference systems), medical monitoring, biometrics, music effects, speech recognition, voice over packet, conference/ip phones, and more C674x DSP Supports both fixed point and floating point Fully code compatible with all previous TMS320C6000 devices Lowest-power floating-point DSP (2 lower than nearest competitor) Lowest-cost fixed- or floating-point networking DSP Networking simplified with advanced peripherals including 10/100 Ethernet MAC, USB 2.0 HS OTG, SATA Scalable architecture; pin-for-pin compatible with select devices in the OMAP-L1x embedded processor generation Up to 448 KB of on-chip memory for system cost reduction and lower power consumption Low power consumption ranging from 7 mw* deep-sleep power to 452 mw total power Smaller, ergonomic products with mm packaging C672x DSP Lowest price floating-point device in the market Sixty-four 32-bit registers Flexible boot options dmax DMA engine tuned for audio performance C671x DSP L1/L2 cache architecture Thirty-two 32-bit registers EDMA DMA engine C67x DSP C64x DSP C62x DSP EMIFA NAND/ SDRAM (16-Bit) EMIFB SDRAM (32-Bit) MMC/SD USB 2.0 USB 1.1 HPI Timers (2) Production Sampling In Development Future *For typical use case scenarios. TMS320C674x DSP Roadmap TMS320C674x DSP core is a low-power, scalable, highly integrated connected device which combines the TMS320C64x+ and TMS320C67x+ DSP cores, and is capable of performing both fixed- and floating-point operations in a single core. TMS320C6747 WD Timer EMIF1 SDR 64b Timer (2) 300 MHz mw* C6747 DSP C6745 DSP Switch Fabric/EDMA DSP Subsystem L1D 32 KB C674x Core L1P 32 KB PLL L2 256 KB upp or Video In Switch Fabric/EDMA 300 MHz mw* C6743 DSP Time 10/100 Ethernet MAC 128-KB RAM 2 I C (2) SPI (2) UART (3) McASP (3) RTC PWM (3) GPIO LCD Ctl EMIF2 I2C (2) DSP Subsystem SPI (2) MMC/SD (2) USB 2.0 USB 1.1 EMAC UHPI SATA TMS320C6748 L1D 32 KB C674x Core L1P 32 KB L2 256 KB 128-KB RAM UART (3) McASP (1) McBSP (2) RTC PWM (2) GPIO LCD Ctl 300 MHz mw* C6748 DSP C6746 DSP C6742 DSP Fixed + Floating Point C674x Future TMS320C674x devices include: SATA USB 2.0 HS PHY USB 1.1 FS PHY Up to 448-KB on-chip memory 10/100 Ethernet MAC mddr/ddr/sdram Video port (VPIF) Universal parallel port (upp) PWMs LCD controller TMS320C6747 Block Diagram The C6747 is a low-power processor based on the C674x DSP core. It provides significantly lower power than other members of the TMS320C6000 platform of DSPs. TMS320C6748 Block Diagram The TMS320C6748 DSP provides unmatched connectivity options with fixed- and floating-point capabilities. * Power-use scenario deep sleep: 1.0-V core, idle ARM DSP clock OFF, all peripherals clock OFF, RTC ON, PLL disabled, 25 C. Power-use scenario active: 70% max load of CPU running at 300 MHz at 1.2 V, mddr 133 MHz/16 bit accessed 50% of the time, McBSP, SPI and GPIOs peripherals are active, 25 C

21 TMS320C6000 DSP Platform Silicon 19 Connectivity, Portability and Low Cost with TMS320C674x Digital Signal Processors The C674x DSP generation provides the lowest power and a low-cost entry point into the TMS320C6000 DSP platform. With pricing starting under U.S. $8 in 1,000-unit quantities and pin-for-pin compatibility with the OMAP-L137 processor, designers are easily able to choose the right processor to fit their application needs. The high-precision TMS320CC67x+ DSP and high-performance TMS320C64x+ cores combine to create this C674x processor core which enables designers to develop software using both floatingand fixed-point instructions in a single device. Boasting the lowest power of any floating-point DSP in the industry, the C674x core eliminates barriers that once prohibited designers from developing portable products in the floating-point environment. For current C67x and C64x customers, through full code compatibility with all existing C6000 DSP code, the C674x DSP generation allows designers to reduce their time to market through re-use of their code. With the best of both worlds (floating-point and fixed-point) in a single core, designers now have more flexibility than ever. Increase the Battery Life of Applications Through TI Process Technology Combining industry-leading, cutting-edge 65-nm process technology with lowleakage transistor technology, C674x DSPs offer high performance and scalability with power consumption as low as 7 mw* in deep sleep mode. The high-performance and low-power silicon architecture and power-management software technology used for C674x DSPs give designers not only granularity for frequency and voltage, but also the ability to manipulate the individual peripherals to further optimize power consumption. Chip-level integration includes, but is not limited to: 10/100 Ethernet MAC USB 1.1 Host/2.0 Host/Device/OTG MMC/SD controllers Universal parallel port (upp) for interfacing with FPGAs, high-speed data converters (C6748 DSP and C6746 DSP only) Universal Host Port Interface (UHPI) for interfacing with other processors LCD controller Serial ATA Interface (C6748 DSP only) 448-KB on-chip memory Video port interface (C6748 DSP and C6746 DSP only) C674x Core The TMS320C674x DSP core couples the high precision and wide dynamic range of TI s floating-point TMS320C67x+ core with the higher system performance of TI s fixed-point TMS320C64x+ core. C674xbased DSPs are object code compatible with all TMS320C6000 devices so developers can easily design a broad range of energy-efficient products by leveraging existing software code. Get Started Quickly As the C6747, C6745 and C6743 DSPs are pin-for-pin compatible with the OMAP-L137 processor, designers can start today on their C674x development with the TMDXOSKL137 Starter Kit. This development kit is priced at U.S. $395 and is available through all standard TI sales channels. This board includes builtin emulation and Code Composer Studio Integrated Development Environment support. DSP/BIOS operating system and device drivers and the associated debugging environment are also included. For more information on C674x processors, visit C674x Videos Want to hear more about the exciting new C674x processors? Hear about both the hardware and software that supports these platforms here: * Power-use scenario deep sleep: 1.0-V core, idle ARM DSP clock OFF, all peripherals clock OFF, RTC ON, PLL disabled, 25 C.

22 20 TMS320C6000 DSP Platform Silicon TMS320C67x DSP Generation Floating-Point DSPs Total Part Frequency L1P L1D L2 RAM External Voltage (V) Power 1-KU Number CPU (MHz) (Bytes) (Bytes) (Bytes) (Bytes) Memory I/F DMA Timers Serial Ports Misc Core I/O (mw) Packaging Price 1 TMS320C6748ZCE C674x K 32 K 256 K 128 K DDR2/mDDR 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2/ 1.8/ mm 361-pin SDRAM 1 GP/WD USB 1.1, SATA 2 MMC/SD, 2 PWMs, 1.1/ mm BGA NAND 1 McASP, 2 McBSP, LCD controller, uhpi, 1.0 NOR 2 SPI, 2 I 2 C, 3 UART 3 ecap, Video I/O TMS320C6748ZWT C674x K 32 K 256 K 128 K DDR2/mDDR 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2/ 1.8/ mm 361-pin SDRAM 1 GP/WD USB 1.1, SATA 2 MMC/SD, 2 PWMs, 1.1/ mm BGA NAND 1 McASP, 2 McBSP, LCD controller, uhpi, 1.0 NOR 2 SPI, 2 I 2 C, 3 UART 3 ecap, Video I/O TMS320C6747ZKB3 C674x K 32 K 256 K 128 K SDRAM 32 Ch 5 1 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, / mm 256-pin NAND 1 GP/WD USB 1.1, MMC/SD, 3 PWMs, mm BGA NOR 3 McASP, 2 SPI, LCD controller, uhpi, 2 I 2 C, 3 UART 3 ecap, 2 eqep TMS320C6747ZKB2 C674x K 32 K 256 K 128 K SDRAM 32 Ch 5 1 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, / mm 256-pin NAND 1 GP/WD USB 1.1, MMC/SD, 3 PWMs, mm BGA NOR 3 McASP, 2 SPI, LCD controller, uhpi, 2 I 2 C, 3 UART 3 ecap, 2 eqep TMS320C6746ZCE C674x K 32 K 256 K DDR2/mDDR 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2/ 1.8/ mm 361-pin SDRAM 1 GP/WD 1 McASP, 2 McBSP, 2 MMC/SD, 2 PWMs, 1.1/ mm BGA NAND 2 SPI, 2 I 2 C, 3 ecap, uhpi, 1.0 NOR 3 UART Video I/O TMS320C6746ZWT C674x K 32 K 256 K DDR2/mDDR 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2/ 1.8/ mm 361-pin SDRAM 1 GP/WD 1 McASP, 2 McBSP, 2 MMC/SD, 2 PWMs, 1.1/ mm BGA NAND 2 SPI, 2 I 2 C, 3 ecap, uhpi, 1.0 NOR 3 UART Video I/O TMS320C6745PTP3 C674x K 32 K 256 K SDRAM 32 Ch 5 1 GP, USB 2.0 FS, 10/100 Ethernet MAC, / pin LQFP NAND 1 GP/WD 2 McASP, 2 SPI, MMC/SD, 3 PWMs, mm NOR 2 I 2 C, 3 UART 3 ecap, 2 eqep TMS320C6745PTP2 C674x K 32 K 256 K SDRAM 32 Ch 5 1 GP, USB 2.0 FS, 10/100 Ethernet MAC, / pin LQFP NAND 1 GP/WD 2 McASP, 2 SPI, MMC/SD, 3 PWMs, mm NOR 2 I 2 C, 3 UART 3 ecap, 2 eqep TMS320C6743PTP3 C674x K 32 K 128 K SDRAM 32 Ch 5 1 GP, 2 McASP, 1 SPI, 10/100 Ethernet MAC, / pin LQFP NAND 1 GP/WD 2 I 2 C, 2 UART MMC/SD, 3 PWMs, mm NOR 3 ecap, 2 eqep TMS320C6743PTP2 C674x K 32 K 128 K SDRAM 32 Ch 5 1 GP, 2 McASP, 1 SPI, 10/100 Ethernet MAC, / pin LQFP NAND 1 GP/WD 2 I 2 C, 2 UART MMC/SD, 3 PWMs, mm NOR 3 ecap, 2 eqep TMS320C6743ZKB3 C674x K 32 K 128 K SDRAM 32 Ch 5 1 GP, 2 McASP, 1 SPI, 10/100 Ethernet MAC, / mm 256-pin NAND 1 GP/WD 2 I 2 C, 2 UART MMC/SD, 3 PWMs, mm BGA NOR 3 ecap, 2 eqep TMS320C6743ZKB2 C674x K 32 K 128 K SDRAM 32 Ch 5 1 GP, 2 McASP, 1 SPI, 10/100 Ethernet MAC, / mm 256-pin NAND 1 GP/WD 2 I 2 C, 2 UART MMC/SD, 3 PWMs, mm BGA NOR 3 ecap, 2 eqep TMS320C6742ZCE C674x K 32 K 64 K DDR2/mDDR 64 Ch 1 GP, 1 McASP, 1 McBSP, 2 PWMs, / mm 361-pin SDRAM 1 GP/WD 1 SPI, 1 I 2 C, 1 UART 3 ecap, uhpi mm BGA NAND, NOR TMS320C6742ZWT C674x K 32 K 64 K DDR2/mDDR 64 Ch 1 GP, 1 McASP, 1 McBSP, 2 PWMs, / mm 361-pin SDRAM 1 GP/WD 1 SPI, 1 I 2 C, 1 UART 3 ecap, uhpi mm BGA NAND, NOR 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most New devices are listed in red. current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 70% DSP load (300 MHz), 50% DDR2 EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV DD = C. 9 Format represents program cache/program or data memory/rom. 3 TMS pricing will come into effect when the device is fully qualified in 1Q10. TMX pricing will be applied until that time. 10 Extended temperature versions available for C6722, C6726, C6727, C6713, C6711D DSPs. 4 TMS pricing will come into effect when the device is fully qualified in 4Q09. TMX pricing will be applied until that time. 11 Also available in 256-pin BGA, 17-mm (GDH) package. 5 Enhanced DMA. 12 McASP2 DIT only. 6 70% DSP (300 MHz), 50% EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV DD = C. 13 The A designation is for industrial temperature range. 7 70% DSP (200 MHz), 50% EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV DD = C. 14 Format represents cache memory architecture: [data cache] / [program cache] / [unified cache]. 8 RFP and ZDH packages are Pb-Free. Note: Check for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103. Continued on the following page.

23 TMS320C6000 DSP Platform 21 TMS320C67x DSP Generation Floating-Point DSPs (Continued) Silicon Total Part Frequency L1P L1D L2 RAM External Voltage (V) Power 1-KU Number CPU (MHz) (Bytes) (Bytes) (Bytes) (Bytes) Memory I/F DMA Timers Serial Ports Misc Core I/O (mw) Packaging Price 1 TMS320C6727BZDH350 8 C67x K 256 K 384 K 9 SDRAM dmax 1 GP 3 McASP, uhpi pin SPI, 2 I 2 C mm BGA TMS320C6727BZDH275 8,10 C67x K 256 K 384 K 9 SDRAM dmax 1 GP 3 McASP, uhpi pin SPI, 2 I 2 C mm BGA TMS320C6727BZDHA250 8,11,13 C67x K 256 K 384 K 9 SDRAM dmax 1 GP 3 McASP, uhpi pin SPI, 2 I 2 C mm BGA TMS320C6727BZDH300 8,10,11 C67x K 256 K 384 K 9 SDRAM dmax 1 GP 3 McASP, uhpi pin SPI, 2 I 2 C mm BGA TMSDC6726BRFPA225 8,10 C67x K 256 K 384 K 9 SDRAM dmax 1 GP 3 McASP 12, PQFP SPI, 2 I 2 C mm TMS320C6726BRFP266 C67x K 256 K 384 K 9 SDRAM dmax 1 GP 3 McASP 12, pin PQFP SPI, 2 I 2 C mm TMS320C6722BRFP200 8,10 C67x K 128 K 384 K 9 SDRAM dmax 1 GP 2 McASP, pin PQFP SPI, 2 I 2 C mm TMS320C6722BRFPA225 8,10,13 C67x K 128 K 384 K 9 SDRAM dmax 1 GP 2 McASP, pin PQFP SPI, 2 I 2 C mm TMS320C6722BRFP250 8,10 C67x K 128 K 384 K 9 SDRAM dmax 1 GP 2 McASP, pin PQFP SPI, 2 I 2 C mm TMS320C6720BRFP200 8 C67x K 64 K 384 K 9 SDRAM dmax 1 GP 2 McASP, pin PQFP SPI, 2 I 2 C mm TMS320C6712DGDP150 C67x K 4 K 64 K 14 SDRAM 16 ch 5 1 GP 2 McBSP pin mm BGA TMS320C6711DGDP200 C67x K 4 K 64 K 14 SDRAM 16 ch 5 1 GP 2 McBSP HPI/ pin mm BGA TMS320C6711DZDP200 C67x K 4 K 64 K 14 SDRAM 16 ch 5 1 GP 2 McBSP HPI/ pin mm BGA TMS320C6711DGDP250 C67x K 4 K 64 K 14 SDRAM 16 ch 5 1 GP 2 McBSP HPI/ pin mm BGA TMS320C6711DZDP250 C67x K 4 K 64 K 14 SDRAM 16 ch 5 1 GP 2 McBSP HPI/ pin mm BGA TMS320C6711DGDPA C67x K 4 K 64 K 14 SDRAM 16 ch 5 1 GP 2 McBSP HPI/ pin mm BGA TMS320C6711DZDPA C67x K 4 K 64 K 14 SDRAM 16 ch 5 1 GP 2 McBSP HPI/ pin mm BGA TMS320C6713BPYP200 C67x K 4 K 256 K 14 SDRAM 16 ch 5 2 GP 2 McBSP, HPI/ pin McASP, 2 I 2 C mm TQFP TMS320C6713BPYPA C67x K 4 K 256 K 14 SDRAM 16 ch 5 2 GP 2 McBSP, HPI/ pin McASP, 2 I 2 C mm TQFP TMS32C6713BPYPA200 C67x K 4 K 256 K 14 SDRAM 16 ch 5 2 GP 2 McBSP, HPI/ pin McASP, 2 I 2 C mm TQFP TMS320C6713BZDPA200 C67x K 4 K 256 K 14 SDRAM 16 ch 5 2 GP 2 McBSP, HPI/ pin McASP, 2 I 2 C mm TQFP TMS32C6713BGDPA200 C67x K 4 K 256 K 14 SDRAM 16 ch 5 2 GP 2 McBSP, HPI/ pin McASP, 2 I 2 C mm BGA TMS32C6713BGDP225 C67x K 4 K 256 K 14 SDRAM 16 ch 5 2 GP 2 McBSP, HPI/ pin McASP, 2 I 2 C mm BGA TMS32C6713BGDP300 C67x K 4 K 256 K 14 SDRAM 16 ch 5 2 GP 2 McBSP, HPI/ pin McASP, 2 I 2 C mm BGA 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most New devices are listed in red. current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 70% DSP load (300 MHz), 50% DDR2 EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV DD = C. 9 Format represents program cache/program or data memory/rom. 3 TMS pricing will come into effect when the device is fully qualified in 1Q10. TMX pricing will be applied until that time. 10 Extended temperature versions available for C6722, C6726, C6727, C6713, C6711D DSPs. 4 TMS pricing will come into effect when the device is fully qualified in 4Q09. TMX pricing will be applied until that time. 11 Also available in 256-pin BGA, 17-mm (GDH) package. 5 Enhanced DMA. 12 McASP2 DIT only. 6 70% DSP (300 MHz), 50% EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV DD = C. 13 The A designation is for industrial temperature range. 7 70% DSP (200 MHz), 50% EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV DD = C. 14 Format represents cache memory architecture: [data cache] / [program cache] / [unified cache]. 8 RFP and ZDH packages are Pb-Free. Note: Check for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.

24 22 TMS320C6000 DSP Platform Tools and Software TMS320C67x DSP Generation Hardware and Software Development Tools Description Part Number $U.S. 1 Hardware Development Tools TMS320C6713 DSP Starter Kit (DSK) TMDSDSK6713 (U.S. part number) 415 TMDSDSK6713-0E (European part number) OMAP-L137/TMS320C6747 Floating-Point Starter Kit TMDXOSKL137BET 395 Professional Audio Development Kit (PADK) Evaluation Module TMDSPDK6727 1,495 Professional Audio Development Bundle includes PADK + CCStudio IDE and XDS560 USB Emulator TMDXPDB6727 5,995 JTAG Emulators Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095 Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095 Spectrum Digital XDS510 USB Emulator TMDSEMUUSB 1,495 Blackhawk XDS560 JTAG PCI Emulator TMDSEMU560PCI 2,995 Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999 Software Development Tools Code Composer Studio (CCStudio) Platinum v 3.3 Development Tools Bundled with Annual S/W Subscription TMDSCCSALL-1 3,595 Supports C6000, C5000, C2000, DaVinci and OMAP processor platforms C6000, C5000, C2000, DaVinci and OMAP processor CCStudio Development Tools Annual Software TMDSSUBALL 600 Subscription for Version 3.10 and higher Code Composer Studio IDE Free Evaluation Tools SPRC119 ( Free Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools 2 TMS320C6000 DSP Chip Support Library SPRC090 Free TMS320C67x DSP Library SPRC121 Free TMS320C67x DSP Fast Run-Time Support Library (Fast RTS) SPRC060 Free 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker) and simulator all limited to 120 days. Please see the features supported by platform matrix on page 59 for more details. TMS320C67x DSP Literature and Related Technical Documentation All documentation and associated literature, user s guides, application notes and software can be found by clicking on the specific device in the parametric table found on the URL below. TMS320C67x DSP Generation

25 TMS320C6000 DSP Platform Power Management Products 23 Power Management Products for the C6000 DSP Platform Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com Suggested Power Management Solutions for the TMS320C64x /TMS320C67x DSP Generations Core and I/O Voltages Synchronous Non-Synchronous Synchronous Non-Synchronous Non-Isolated Isolated Multiple- Input Output Controller Controller Integrated FET Integrated FET Power Power Output Voltage Current LDO (External FETs) (External FET and Diode) Converter Converter Module Module Converter 3.3 V 3 A TPS74401 TPS40009 TPS64200 TPS54317 PTH04T260 TPS A TPS75901 TPS40009 TPS54610 PTH04T230 5 V 3 A TPS74401 TPS40009 TPS40200 TPS54317 TPS54350 PTH04T260 TPS75003 TPS A TPS75901 TPS40009 TPS54610 TPS54550 PTH04T V 3 A TPS40190 TPS40200 TPS54350 TPS54350 PTH08T260 TPS5124 TPS A TPS40190 TPS54550 TPS54550 PTH08T230 TPS V 3 A TPS40057 TPS40200 TPS5430* PTN78020* PTB78560* TPS A TPS40057 PTB78560* 48 V 3 A TPS40061 TPS40200* PTMA403033* 6 A TPS40061 PTB48560* *Due to Vout/Vin ratio core voltage may have to be stepped down from 3.3-V I/O. Example C6000 DSP Power Supply Design V CC C9 R6 R7 R5 R17 Open ENA U1 TPS54350PWP 1 VIN BOOT 16 2 VIN PH 15 3 UVLO PH 14 4 PWRGD LSG 13 5 RT VBIAS 12 6 SYNC PGND 11 7 ENA AGND 10 8 COMP VSENSE 9 PwrPd 17 C Q1 4 FDR6674A 8 5 C4 R1 TP7 L1 R18 C13 R9 + C2 DV DD C6 R3 R5 C8 C7 R2 DV DD V CC DV DD C10 U2 TPS3808G VDD RST 2 4 SNS/NC GND 3 CT MR R5 DSP_RESET C10 V CC C15 R11 R8 R14 R19 Open ENA U3 TPS54350PWP 1 VIN BOOT 16 2 VIN PH 15 3 UVLO PH 14 4 PWRGD LSG 13 5 RT VBIAS 12 6 SYNC PGND 11 7 ENA AGND 10 8 COMP VSENSE 9 PwrPd 17 C Q2 4 FDR6674A 8 5 C16 R13 TP8 L2 R21 C17 R20 + C18 CV DD C10 R15 R16 C11 V CC ON OFF S1 For additional power supply designs for TI DSPs, please visit C12 Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level power requirements to ensure an adequate power supply design is used. R12

26 24 Digital Media Processors with DaVinci Technology Silicon Digital Media Processors with DaVinci Technology Digital Media Processors Get samples, data sheets, tools and app reports at: Targeted Applications Automotive infotainment Automotive video sensing Automotive vision Broadcast systems Cable head-end video equipments (routers) Digital camcorders Digital still cameras Digital media adapters Digital photo frames Digital signage Digital TV and server head-ends Digital video recorders IP network cameras IP-based video-conferencing endpoints IP-based video phones IP set-top boxes Hard-copy appliances Machine vision Media encoder/decoder appliances Media gateways Medical imaging Network projector Personal video recorders Portable media players Professional-grade video broadcast equipment Robotics Security recording systems Streaming video appliances Video broadcast transcoding Video-conferencing, multi-point conference units (MCUs)/gateways Video infrastructure Video jukeboxes Digital video security recorders (DVRs) Video surveillance cameras Webpads Wireless cameras Segment Device Production Sampling In Development Future DM64x DM644x DM643x DM64x DM6441 DM GHz DM647/8 DM355 DM GHz DM647/8 DM6467T DM6467 DM357 DM6467T DM6467 DM Next Time DM365 DM64x+ Next DM Next DM64x+ Next DM3x+ Next Infrastructure Video Cable head-end video systems Multi-channel security DVRs Professional-grade broadcastquality systems Video-conference MCU/ gateways Client Video IP-based video phones IP set-top boxes Media encoder/decoder appliances Networked PVRs IP video surveillance IP video-conferencing Portable Video Digital still cameras Digital camcorders Gaming Multimedia jukeboxes PDAs Portable medical Digital Media Processors Roadmap Digital video customers benefit from TI s DaVinci technology portfolio of digital media processors, development tools, software and support, enabling them to accelerate development and fuel innovation. Digital Media Processors: Tuned for Digital Video End Equipments DaVinci Processor CPU MHz Capture/Display DM335 ARM , 216 Capture/Display DM355* ARM926** 135, 216, 270 Capture/Display DM357 ARM Capture/Display DM365 ARM , 270, 300 Capture/Display DM6467 C64x+/ARM , 729 / 297, Capture/Display DM648* C64x+ 720, 800, 900 Capture/Display DM647* C64x+ 720, 800, 900 Capture/Display DM6446* C64x+/ARM / 600 Capture/Display DM6443 C64x+/ARM / 600 Display DM6441* C64x+/ARM / 512 Capture/Display DM6437 C64x+ 400, 500, 600, 700 Capture/Display DM6435 C64x+ 400, 500, 600, 700 Capture DM6433 C64x+ 400, 500, 600, 700 Display DM6431 C64x+ 300 Capture *Includes video imaging coprocessor. **Includes MPEG-4/JPEG coprocessor. Includes DaVinci high-definition video/imaging coprocessor. DaVinci Technology

27 Digital Media Processors with DaVinci Technology Silicon 25 DaVinci Technology Overview DaVinci technology is a signal processingbased solution tailored for digital video applications that provides video equipment manufacturers with integrated processors, software, tools and support to simplify the design process and accelerate innovation. DaVinci Processors Reduce System Cost The portfolio of DaVinci processors consists of scalable, programmable signalprocessing system-on-chips (SoCs), accelerators and peripherals, optimized to match the price, performance and feature requirements for a broad spectrum of video end equipments. The DaVinci technology portfolio includes: TMS320DM646x digital media processors DSP-based SoCs specifically tuned for real-time, multi-format, HD video transcoding at 10 the performance and 1/10th the price. The DM6467 consists of an integrated ARM926EJ-S core, TMS320C64x+ DSP core, High-Definition Video/Imaging Co-Processors (HD-VICP), video data conversion engine and targeted video port interfaces. The DM6467 processor is specifically designed to address the HD transcoding challenge for commercial and consumer markets, such as media gateways, multi-point control units, digital media adapters, digital video servers and recorders for the security market and IP set-top boxes (STBs). TMS320DM644x digital media processors Highly integrated SoCs based on an ARM926 processor and the C64x+ DSP core. The TMS320DM6446, TMS320DM6443 and TMS320DM6441 processors are ideal for applications and end equipments such as video phones, automotive infotainment and IP STBs. TMS320DM643x digital media processors Based on the C64x+ DSP core and priced as low as U.S. $9.95*. The TMS320DM6437, TMS320DM6435, TMS320DM6433 and TMS320DM6431 processors are ideal for cost-sensitive applications and include special features that make them suitable for automotive market applications such as lane departure and collision avoidance, as well as machine-vision systems, robotics and video security. TMS320DM64x digital media processors The TMS320DM64x digital media processors are optimized for video and include a range of highperformance and low-cost options. The TMS320DM64x generation of digital media processors are fully programmable and offer industry-leading performance for the most demanding streaming multimedia and multi-channel video security and infrastructure applications, including digital video recorders (DVRs), IP video servers, machine-vision systems and high-performance imaging applications. In addition, TI offers a wide range of complementary analog parts, easy-to-use development tools, extensive video and imaging third-party algorithms and more. TMS320DM3x digital media processsors Developers can now deliver cost-effective solutions for crystal clear video up to HD resolutions, targeted at both portable and plugged devices. The DM3x generation features an ARM9 processor, with speeds up to 300 MHz, some devices with video coprocessing and bundled codecs to speed development efforts, and all with a video-processing subsystem adding intelligence to video processing. The DM3x generation, including DM335, DM355, DM357 and DM365 processors, is ideal for camera-driven applications as well as video-playback devices such as IP cameras, video doorbells, video conferencing, digital signage, portable media players and more. *Pricing valid at 10 KU

28 26 Digital Media Processors with DaVinci Technology Silicon TMS320DM646x Digital Media Processor The DM6467 DaVinci processor is a DSPbased SoC specifically tuned for real-time, multi-format, high-definition (HD) video transcoding delivering a 10 performance improvement over previous-generation processors to perform simultaneous, multi-format HD encode, decode and transcoding up to H.264 HP@L4 (1080p 30 fps, 1080i 60 fps, 720p 60 fps). Key application areas include media gateways, multi-point control units, digital media adapters, digital video servers and recorders for the security market and IP set-top boxes (STBs). TMS320DM6467 C64x+ DSP Core 729 MHz ARM 926EJ-S CPU MHz Switched Central Resource Program/Data Storage Serial Interfaces High- Definition Video/ Imaging Co-Processors (HD-VICP) Video Data Conversion Engine Video Port Interfaces Standard Connectivity System Control TMS320DM6467 digital media processor block diagram. For more information, visit L1/ L2/ External Video Ports Program/ Frequency SRAM SRAM ROM Memory (Config- Serial Connectivity Data Voltage (V) 1-KU Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA urable) I/F I/F Storage Core I/O Packaging Price 1 TMS320DM6467ZUT C64x+, K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I 2 C, 32-Bit PCI (33 MHz), Async SRAM, DDR / 529 BGA ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart mm DaVinci K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC Media / SSFDC/xD, HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash, Video support), 32-/16-Bit HPI NOR Flash TMS320DM6467ZUT7 C64x+, K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I 2 C, 32-Bit PCI (33 MHz), Async SRAM, DDR / 529 BGA ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart mm DaVinci K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD, HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash, Video support) 32-/16-Bit HPI NOR Flash TMS320DM6467ZUTA C64x+, K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I 2 C, 32-Bit PCI (33 MHz), Async SRAM, DDR / 529 BGA ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart mm DaVinci K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD, HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash, Video support) 32-/16-Bit HPI NOR Flash TMS320DM6467ZUTAV C64x+, K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I 2 C, 32-Bit PCI (33 MHz), Async SRAM, DDR2 1.2/ 1.8/ 529 BGA ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart mm DaVinci K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD, HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash, Video support) 32-/16-Bit HPI NOR Flash TMS320DM6467ZUTD7 C64x+, K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I 2 C, 32-Bit PCI (33 MHz), Async SRAM, DDR / 529 BGA ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart mm DaVinci K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD, HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash, Video support) 32-/16-Bit HPI NOR Flash TMS320DM6467ZUTV C64x+, K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I 2 C, 32-Bit PCI (33 MHz), Async SRAM, DDR2 1.2/ 1.8/ 529 BGA ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart mm DaVinci K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD, HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash, Video support) 32-/16-Bit HPI NOR Flash 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Video port [config. for dual 8-bit SD (BT.565), single 16-bit HD (BT.1120), or single 8-/10-/12-bit raw capture chs]. 1 video port [configured for dual 8-bit SD (BT.565) or single 16-bit HD (BT.1120) display chs], 2 transport stream interface for MPEG transport stream, 1 VDCE for horizontal/vertical downscaling, chroma conversion, edge padding and anti-alias filtering.

29 Digital Media Processors with DaVinci Technology Development Tools for the TMS320DM646x Processors Description Part Number $U.S. 1 For Evaluation: TMS320DM6467 Digital Video Evaluation Module (DVEVM)* TMDSEVM6467 1,995 Code Composer Studio (CCStudio) Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2 Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995 Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999 For Production: Code Composer Studio IDE* TMDSCCSALL-1 3,595 2 Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995 Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999 Tools 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at * Required for digital media software evaluation and/or production Please see the features supported by platform matrix on page 59 for more details. 27

30 28 Digital Media Processors with DaVinci Technology Silicon and Tools TMS320DM644x Digital Media Processors TMS320DM644x digital media processors are highly integrated SoCs based on an ARM926 processor and the TMS320C64x+ DSP core. They are ideal for applications such as video phones, automotive infotainment and IP STBs. TMS320DM6446 ARM926 Subsystem ARM EJ-S 300-MHz CPU Video- Imaging Coprocessor DSP Subsystem C64x+ DSP 600-MHz Core Video Processing Subsystem Front End CCD Controller Video Interface Back End On-Screen Display (OSD) Video Enc (VENC) Switched Central Resource (SCR) Preview Histogram/3A Resizer 10b DAC 10b DAC 10b DAC 10b DAC Peripherals EDMA Serial Interfaces Audio Serial Port IC 2 SPI UART 3 USB 2.0 PHY Connectivity VLYNQ Interface DDR2 Controller (16b/32b) EMAC with MDIO Timer 2 System WD Timer Program/Data Storage Async EMIF/ NAND/ SmartMedia ATA/ Compact Flash PWM 3 MMC/ SD TMS320DM6446 digital media processor block diagram. For more information, visit L1/ L2/ External Program/ Frequency SRAM SRAM ROM Memory Video Ports Serial Connectivity Data Voltage (V) 1-KU Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA (Configurable) I/F I/F Storage Core I/O Packaging Price 1 TMS320DM6446AZWT C64x+, K 64 K 16 K 1 16-/8-Bit 64 Ch 1 Input, ASP, I 2 C, USB 2.0, Async SRAM, / 361 BGA ARM9, (DSP) (DSP) (DSP) (ARM) EMIFA, 1 Output SPI, VLYNQ, DDR2 SDRAM, mm DaVinci K 1 32-/16-Bit 3 UARTs 10/100 EMAC NAND Flash, Video (ARM) (ARM) DDR2 SmartMedia/xD TMS320DM6446AZWTA C64x+, K 64 K 16 K 1 16-/8-Bit 64 Ch 1 Input, ASP, I 2 C, USB 2.0, Async SRAM, / 361 BGA ARM9, (DSP) (DSP) (DSP) (ARM) EMIFA, 1 Output SPI, VLYNQ, DDR2 SDRAM, mm DaVinci K 1 32-/16-Bit 3 UARTs 10/100 EMAC NAND Flash, Video (ARM) (ARM) DDR2 SmartMedia/xD TMS320DM6443AZWT C64x+, K 64 K 16 K 1 16-/8-Bit 64 Ch 1 Output ASP, I 2 C, USB 2.0, Async SRAM, / 361 BGA ARM9, (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, VLYNQ, DDR2 SDRAM, mm DaVinci K 1 32-/16-Bit 3 UARTs 10/100 EMAC NAND Flash, Video (ARM) (ARM) DDR2 SmartMedia/xD TMS320DM6441AZWT C64x+, 513/ K 64 K 16 K 1 16-/8-Bit 64 Ch 1 Input, ASP, I 2 C, USB 2.0, Async SRAM, 1.2/ 1.8/ 361 BGA ARM9, (DSP) (DSP) (DSP) (ARM) EMIFA, 1 Output SPI, VLYNQ, DDR2 SDRAM, mm DaVinci 256/ K 1 32-/16-Bit 3 UARTs 10/100 EMAC NAND Flash, Video (ARM) (ARM) DDR2 SmartMedia/xD 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. Development Tools for TMS320DM644x Processors Description Part Number $U.S. 1 For Evaluation: TMS320DM644x Digital Video Evaluation Module (DVEVM)* TMDSEVM6446 (U.S. part number) 2,495 Code Composer Studio (CCStudio) Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2 Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995 Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999 For Production: Code Composer Studio IDE* TMDSCCSALL-1 3,595 2 Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995 Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at Required for digital media software evaluation and/or production.

31 Digital Media Processors with DaVinci Technology Silicon 29 TMS320DM643x Digital Media Processors TMS320DM643x digital media processors are based on the TMS320C64x+ DSP core. They are ideal for cost-sensitive digital media applications such as machine-vision systems, robotics, video security, video telephony and automotivevision applications such as lane departure and collision avoidance. TMS320DM6437 DSP Subsystem L1D 80 KB C64x+ DSP 700-MHz Core Peripherals EDMA Serial Interfaces McBSP 2 or McASP L1P 32 KB L2 128 KB Cache Connectivity Video Processing Subsystem Front End CCD Controller Video Interface Back End On-Screen Display (OSD) Video Enc (VENC) Switched Central Resource (SCR) PCI 33 IC 2 VLYNQ I/F or or EMAC CAN UART 2 HPI System Program/Data Storage DDR2 Controller (32b) Preview Histogram/3A Resizer Timer WD PWM 64-bit Timer 3 2 EMIF (8b) 10b DAC 10b DAC 10b DAC 10b DAC PLL JTAG DDR PLL OSC TMS320DM6437 digital media processor block diagram. For more information, visit L1/ L2/ External Program/ Frequency SRAM SRAM ROM Memory Video Ports Serial Connectivity Data Voltage (V) 1-KU Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA (Configurable) I/F I/F Storage Core I/O Packaging Price 1 TMS320DM6431ZWT3 C64x+, K 64 K 64 K 1 8-bit 64 Ch 1 Input McASP, I 2 C, 10/100 EMAC Async SRAM, / 361 PBGA TMS320DM6431ZWTQ3 2 DaVinci EMIFA, 1 UART, DDR2 SDRAM, mm, TMS320DM6431ZDU3 Video 1 16-bit 1 McBSP, NAND Flash 376 BGA TMS320DM6431ZDUQ3 2 DDR2 1 HECC mm TMS320DM6433ZWT4 C64x+, K 128 K 64 K 1 8-bit 64 Ch 1 Output McASP, 32-bit PCI, Async SRAM, 1.05/ 1.8/ 361 PBGA TMS320DM6433ZWT5 DaVinci 500 EMIFA, 1 McBSP, VLYNQ, DDR2 SDRAM, mm, TMS320DM6433ZWTQ5 2 Video /32-bit I 2 C, 10/100 EMAC, NAND Flash TMS320DM6433ZWT6 600 DDR2 1 UART 16-bit HPI TMS320DM6433ZWTQ TMS320DM6433ZWT TMS320DM6433ZWTL TMS320DM6433ZDU BGA TMS320DM6433ZDU mm TMS320DM6433ZDUQ TMS320DM6433ZDU TMS320DM6433ZDUQ TMS320DM6433ZDU TMS320DM6433ZDUL TMS320DM6435ZWT4 C64x+, K 128 K 64 K 1 8-bit 64 Ch 1 Input McASP, I 2 C, VLYNQ, Async SRAM, 1.05/ 1.8/ 361 PBGA TMS320DM6435ZWTQ4 2 DaVinci 400 EMIFA, 1 McBSP, 10/100 EMAC, DDR2 SDRAM, mm, TMS320DM6435ZWT5 Video /32-bit 2 UARTs, 16-bit HPI NAND Flash TMS320DM6435ZWTQ DDR2 1 HECC TMS320DM6435ZWT TMS320DM6435ZWTQ TMS320DM6435ZWT TMS320DM6435ZWTL TMS320DM6435ZDU BGA TMS320DM6435ZDUQ mm TMS320DM6435ZDU TMS320DM6435ZDUQ TMS320DM6435ZDU TMS320DM6435ZDUQ TMS320DM6435ZDU TMS320DM6435ZDUL Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Q designates Q100 automotive reliability. 3 McBSP can be configured as an SPI peripheral.

32 30 Digital Media Processors with DaVinci Technology Silicon and Tools TMS320DM643x Digital Media Processors (Continued) L1/ L2/ External Program/ Frequency SRAM SRAM ROM Memory Video Ports Serial Connectivity Data Voltage (V) 1-KU Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA (Configurable) I/F I/F Storage Core I/O Packaging Price 1 TMS320DM6437ZWT4 C64x+, K 128 K 64 K 1 8-bit 64 Ch 1 Input, McASP, I 2 C, 32-bit PCI, Async SRAM, 1.05/ 1.8/ 361 PBGA TMS320DM6437ZWTQ4 2 DaVinci 400 EMIFA, 1 Output 1 HECC VLYNQ, DDR2 SDRAM, mm, TMS320DM6437ZWT5 Video /32-bit 2 McBSPs 3, 10/100 EMAC, NAND Flash TMS320DM6437ZWTQ DDR2 2 UARTs 16-bit HPI TMS320DM6437ZWT TMS320DM6437ZWTQ TMS320DM6437ZWT TMS320DM6437ZWTL TMS320DM6437ZDU BGA TMS320DM6437ZDUQ mm TMS320DM6437ZDU TMS320DM6437ZDUQ TMS320DM6437ZDU TMS320DM6437ZDUQ TMS320DM6437ZDU TMS320DM6437ZDUL Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Q designates Q100 automotive reliability. 3 McBSP can be configured as an SPI peripheral. Development Tools for TMS320DM643x Processors Description Part Number $U.S. 1 For Evaluation and Production: TMS320DM6437 Digital Video Development Platform (DVDP)* TMDSVDP Code Composer Studio Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2 Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995 Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at * Required for digital media software evaluation and/or production Please see the features supported by platform matrix on page 59 for more details.

33 Digital Media Processors with DaVinci Technology Silicon 31 TMS320DM64x Digital Media Processors Optimized for multi-channel video security and infrastructure applications, including digital video recorders (DVRs), IP video servers, machine-vision systems and high-performance imaging applications. The DM64x digital media processors are fully programmable and offer industryleading performance for the most demanding streaming multimedia applications. Video Acceleration Peripherals DSP Subsystem L1P 32 KB C64x+ DSP 1.1-GHz Core L1P 32 KB VLYNQ Interface McASP 10 Ser Serial Interfaces SPI L2 512 KB IC 2 EDMA 3.0 CC TC TC TC TC Switched Central Resource System Timer 64-Bit 2 UART TMS320DM648 Program/Data Storage DDR Bit EMIFA 16-Bit Video Port 5 Connectivity Gigabit Switch PCI-66 or UHPI GEMAC GEMAC TMS320DM648 digital media processor block diagram. For more information, visit L1/ L2/ External Program/ Frequency SRAM SRAM ROM Memory EDMA Video Ports Serial Connectivity Data Voltage (V) 1-KU Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F (Ch) (Configurable) I/F I/F 2 Storage Core I/O Packaging Price 1 TMS320DM647ZUT7 C64x+, K/32 K 256 K 64 K 1 16-/8-bit 64 5 video ports 1 I 2 C, PCI/HPI, Async SRAM, 1.2/ 1.8/ 529 nfbga TMS320DM647ZUT9 DaVinci 900 EMIFA 3, (each configurable 1 SPI, VLYNQ, DDR2 SDRAM, mm Video 1 32-/16-bit as dual capture, 1 UART, 10/100/1000 NAND Flash, DDR2 single capture, 1 McASP 3-pt Ethernet NOR Flash display, TSI Switch Subsys capture) w/ 1 SGMII Pt TMS320DM647ZUTD TMS320DM647ZUTD TMS320DM647ZUTA TMS320DM648ZUT7 C64x+, K/32 K 512 K 64 K 1 16-/8-bit 64 5 video ports 2 I 2 C, PCI/HPI, Async SRAM, 1.2/ 1.8/ 529 nfbga TMS320DM648ZUT9 DaVinci 900 EMIFA 3, (each configurable 1 SPI, VLYNQ, DDR2 SDRAM, mm Video 1 32-/16-bit as dual capture, 1 UART, 10/100/1000 NAND Flash, DDR2 single capture, 1 McASP 3-pt Ethernet NOR Flash display, TSI 2 TSIP Switch Subsys capture) w/ 2 SGMII Pts TMS320DM648ZUTD TMS320DM648ZUTD TMS320DM648ZUTA TMS320DM640AGDK4 C64x, K/16 K 128 K 1 32-bit bit 2 McBSP EMAC 5 SDRAM, SBSRAM, BGA, 23 mm Video Async SRAM TMS320DM640AGNZ4 C64x, K/16 K 128 K 1 32-bit bit 2 McBSP EMAC 5 SDRAM, SBSRAM, BGA, 27 mm Video Async SRAM TMS320DM641AGDK5 C64x, K/16 K 128 K 1 32-bit bit 2 McBSP HPI/16/EMAC 5 SDRAM, SBSRAM, BGA, 23 mm Video Async SRAM 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 HPI is selectable, 32-bit or 16-bit. 3 EMIFA does not support SDRAM. 4 The DM642 can be configured to have up to three serial ports in various video/mcasp/mcbsp combinations. 5 The DM640 has an Ethernet MAC. The DM641 can be configured to have either a 16-bit HPI or Ethernet MAC. The DM643 can be configured to have either a 32-bit HPI or a 16-bit HPI and Ethernet MAC. The DM642 can be configured to have either a 32-bit PCI or 32-bit HPI or a 16-bit HPI and Ethernet MAC. Note: Check for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103. Continued on the following page.

34 32 Digital Media Processors with DaVinci Technology Silicon and Tools TMS320DM64x Digital Media Processors (Continued) L1/ L2/ External Program/ Frequency SRAM SRAM ROM Memory EDMA Video Ports Serial Connectivity Data Voltage (V) 1-KU Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F (Ch) (Configurable) I/F I/F 2 Storage Core I/O Packaging Price 1 TMS320DM641AGDK6 C64x, K/16 K 128 K 1 32-bit bit 2 McBSP HPI/16/EMAC 5 SDRAM, SBSRAM, BGA, 23 mm Video Async SRAM TMS320DM641AGNZ6 C64x, K/16 K 128 K 1 32-bit bit 2 McBSP HPI/16/EMAC 5 SDRAM, SBSRAM, BGA, 27 mm Video Async SRAM TMS320DM643AGDK5 C64x, K/16 K 256 K 1 64-bit bit 1 McBSP HPI 32/EMAC 5 SDRAM, SBSRAM, BGA, 23 mm Video Async SRAM TMS320DM643AGNZ5 C64x, K/16 K 256 K 1 64-bit bit 1 McBSP HPI 32/EMAC 5 SDRAM, SBSRAM, BGA, 27 mm Video Async SRAM TMS320DM643AGDK6 C64x, K/16 K 256 K 1 64-bit bit 1 McBSP HPI 32/EMAC 5 SDRAM, SBSRAM, BGA, 23 mm Video Async SRAM TMS320DM643AGNZ6 C64x, K/16 K 256 K 1 64-bit bit 1 McBSP HPI 32/EMAC 5 SDRAM, SBSRAM, BGA, 27 mm Video Async SRAM TMS320DM642AGDK5 C64x, K/16 K 256 K 1 64-bit bit 2 4 McBSP PCI/HPI 32/ SDRAM, SBSRAM, BGA, 23 mm Video EMAC 5 Async SRAM TMS320DM642AGNZ5 C64x, K/16 K 256 K 1 64-bit bit 2 4 McBSP PCI/HPI 32/ SDRAM, SBSRAM, BGA, 27 mm Video EMAC 5 Async SRAM TMS320DM642AGDK6 C64x, K/16 K 256 K 1 64-bit bit 2 4 McBSP PCI/HPI 32/ SDRAM, SBSRAM, BGA, 23 mm Video EMAC 5 Async SRAM TMS320DM642AGNZ6 C64x, K/16 K 256 K 1 64-bit bit 2 4 McBSP PCI/HPI 32/ SDRAM, SBSRAM, BGA, 27 mm Video EMAC 5 Async SRAM TMS320DM642AGDK7 C64x, K/16 K 256 K 1 64-bit bit 2 4 McBSP PCI/HPI 32/ SDRAM, SBSRAM, BGA, 23 mm Video EMAC 5 Async SRAM TMS320DM642AGNZ7 C64x, K/16 K 256 K 1 64-bit bit 2 4 McBSP PCI/HPI 32/ SDRAM, SBSRAM, BGA, 27 mm Video EMAC 5 Async SRAM 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red. the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 HPI is selectable, 32-bit or 16-bit. 3 EMIFA does not support SDRAM. 4 The DM642 can be configured to have up to three serial ports in various video/mcasp/mcbsp combinations. 5 The DM640 has an Ethernet MAC. The DM641 can be configured to have either a 16-bit HPI or Ethernet MAC. The DM643 can be configured to have either a 32-bit HPI or a 16-bit HPI and Ethernet MAC. The DM642 can be configured to have either a 32-bit PCI or 32-bit HPI or a 16-bit HPI and Ethernet MAC. Note: Check for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103. Development Tools for TMS320DM64x Processors Description Part Number $U.S. 1 For Evaluation and Production: TMS320DM642 Digital Media Development Kit (DM642 DMDK) TMDSDMK642 (U.S. part number) 6,495 TMDSDMK642-0E (European part number) TMS320DM642 Evaluation Module (EVM) TMDSEVM642 (U.S. part number) 1,995 TMDSEVM642-0E (European part number) TMS320DM648 Digital Video Development Platform (DVDP)* TMDSDVP648 1,295 Code Composer Studio Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2 Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995 Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999 XDS560 USB Trace Emulator 3 TMDSEMU560T 9,995 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at 3 The XDS560 Trace is designed for use with trace-enabled digital signal processors. Currently the following processors are fully supported by trace: TMS320DM647 and TMS320DM648 processors. * Required for digital media software evaluation and/or production Please see the features supported by platform matrix on page 59 for more details.

35 Digital Media Processors with DaVinci Technology Silicon 33 TMS320DM3x Digital Media Processors The TMS320DM3x processors are powered by an ARM9 core and a video processing subsystem (VPSS). The DM335 processor is a low-cost, low-power processor providing advanced graphical user interface for display applications that do not require video compression and decompression. Optimized for HD video, the DM355 processor integrates an MPEG-4/JPEG coprocessor to enable ultra-low power consumption. The new DM365 processor includes Image Signal Processing (ISP), production-ready codec bundles and integrated peripherals to provide pixel-perfect 1080p HD video. TMS320DM365 ARM Subsystem ARM9 CPU Peripherals EDMA Keyscan/ADC Serial Interfaces ASP 2 MJCP Coprocessor IC 2 SPI 3 MPEG-4 JPEG HPI UART 2 HCP Coprocessor HCP DMA Data & Configuration Bus Connectivity EMAC 10/100 USB 2.0 HS OTG Program/Data Storage mddr2/ DDR2 EMIF Video Processing Subsystem Front End CCD Controller Video Interface Histogram/3A Resizer NAND/ ECC EMIF MMC/ SDIO 2 Timer 6 Image Signal Processing (ISP) Back End Enhanced 10b HD/SD DAC Video On-Screen Enc 10b HD/SD DAC Display (VENC) (OSD) 10b HD/SD DAC System WD Timer PWM 4 RTC Voice Codec TMS320DM365 digital media processor block diagram. For more information, visit L1/ L2/ External Program/ Frequency SRAM SRAM ROM Memory Video Ports Serial Connectivity Data Voltage (V) 1-KU Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA (Configurable) I/F I/F Storage Core I/O Packaging Price 1 TMX320DM335ZCE135 ARM9, K 8 K 1 16-/8-bit 64 Ch 1 Input, 3 SPI, USB 2.0 HS Async SRAM, / 337 BGA 9.05 TMX320DM335ZCE216 DaVinci 216 EMIFA, 1 Output 2 ASP, mddr/ddr mm Video 1 16-bit 3 UARTs, SDRAM, mddr/ddr2 I 2 C OneNAND, NAND Flash, SmartMedia/xD TMX320DM355ZCE216 ARM9, K 1 16-/8-bit 64 Ch 1 Input, 3 SPI, USB 2.0 HS Async SRAM, / 329 BGA TMX320DM355ZCE270 DaVinci 270 EMIFA, 1 Output 2 ASP, mddr/ddr mm Video 1 16-bit 3 UARTs, SDRAM, mddr/ddr2 I 2 C NAND Flash, SmartMedia/xD TMX320DM357ZWT ARM9, K 1 16-/8-bit 64 Ch 1 Input, 1 SPI, USB 2.0 HS Async SRAM, / 361 nfbga DaVinci EMIFA, 1 Output 1 ASP, DDR2 SDRAM, mm Video 1 32-/16-bit 3 UARTs, NAND Flash, DDR2 1 MMD/SD, SmartMedia/xD I 2 C TMX320DM365ZCE270 ARM9, K 16 K 1 16-/8-bit 64 Ch 1 Input, 5 SPI, USB 2.0 HS, Async SRAM, 1.2/ 1.8/ 338 BGA TMX320DM365ZCE300 DaVinci 300 EMIFA, 3 Outputs 2 ASP, EMAC mddr/ddr mm Video 1 16-bit 2 UARTs, SDRAM, mddr/ddr2 2 SD MMC, OneNAND, I 2 C NAND Flash, SmartMedia/xD 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and New devices are listed in red. complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.

36 34 Digital Media Processors with DaVinci Technology Tools Development Tools for TMS320DM3x Processors Description Part Number $U.S. 1 For Evaluation: TMS320DM355 Digital Video Evaluation Module (DVEVM)* TMDXEVM TMS320DM357 Digital Video Evaluation Module (DVEVM) TMDXEVM TMS320DM365 Digital Video Evaluation Module (DVEVM) TMDXEVM Code Composer Studio (CCStudio) Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2 Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995 Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999 For Production: Code Composer Studio IDE* TMDSCCSALL-1 3,595 2 Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995 Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and New tools are listed in red. complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at * Required for digital media software evaluation and/or production Please see the features supported by platform matrix on page 59 for more details.

37 Digital Media Processors with DaVinci Technology Software/Resources 35 Complete System Tools Get You to Market Faster Developers can get started today with DaVinci technology-based software and development tools tailored to simplify design in video applications, including: Digital Video Evaluation Module (DVEVM) DVEVMs are comprised of both hardware and software, and enable developers to start instantaneous evaluation of DaVinci processors. DVEVMs come complete with a demo version of MontaVista Linux Pro 4.0, drivers, Codec Engine, evaluation codecs and an evaluation board. While customers developing on an ARM926 processor can go into production with a DVEVM, it is not recommended or supported by TI. Customers developing on a DSP will require a DVSPB (see description below) in order to go into production. Available DVEVMs: TMS320DM365 DVEVM (part number TMDXEVM365) TMS320DM357 DVEVM (part number TMDXEVM357) TMS320DM355 DVEVM (part number TMDXEVM355) TMS320DM6467 DVEVM (part number TMDSEVM6467) TMS320DM6446 DVEVM (part number TMDSEVM6446) Digital Video Development Platform (DVDP) A DVDP enables immediate evaluation of DSP-based DaVinci technology digital media processors. DVDPs include DSP/BIOS production-ready kernel, drivers, Codec Engine, evaluation codecs, Code Composer Studio IDE and an evaluation board. A DVDP provides developers with a comprehensive platform that can be used throughout the entire design process. A DVDP is recommended for TMS320DM6437 and TMS320DM648 processors customers only. Available DVDPs: TMS320DM6437 DVDP (part number TMDSVDP6437) TMS320DM648 DVDP (part number TMDSDVP648) For a complete list of DaVinci development tools, see page 119. Or for more information on DaVinci technology DVEVMs, DVSPBs and DVDPs, please visit expressdsp Digital Media Software Simplifies Development and Reduces Design Time expressdsp Digital Media Software To simplify development and reduce cost in your digital media application, a complete portfolio of expressdsp-compliant digital media software is now widely available. TI digital media software is: Production tested for easy integration into audio, video and voice applications Optimized to support DaVinci technologybased digital media processors Digital Media Software Inventory* Designed to meet the needs of engineers by allowing them to focus on product differentiation instead of codec development Available via free 60-day evaluation with multiple licensing options Fully supported by Authorized Software Providers that give customized technical support Customized Technical Support for expressdsp Digital Media Software Provided by Authorized Software Providers (ASPs) To ensure extensive and qualified support, TI has established a worldwide network of ASPs that offer support for TI-enabled IP and customized software and engineering services. ASPs provide four hours of free Target Hardware Codecs TMD320DM646x TMD320DM644x TMD320DM643x TMD320DM648 TMD320DM3x OMAP35x Video & Imaging H.264 Video Decoder H.264 Video Encoder VICP JPEG Imaging Decoder JPEG Imaging Encoder MPEG-2 Video Decoder MPEG-2 Video Encoder MPEG-4 Video Decoder MPEG-4 Video Encoder VC1 Video Decoder Audio AAC Audio Decoder AAC Audio Encoder AC-3 Audio Decoder MP3 Audio Decoder MP3 Audio Encoder WMA Audio Decoder/ Encoder Voice G.711 Decoder/Encoder Open Source G.726 Decoder/Encoder G Decoder/Encoder G.729 Decoder/Encoder Other Network Developer Kit Open Source (NDK) * Evaluate at no charge for 60 days with a simple click-wrap license.

38 36 Digital Media Processors with DaVinci Technology Software/Resources Complete System Tools Get You to Market Faster (Continued) support during the free 60-day evaluation stage and up to 40 hours during application development. For more information on ASPs, please visit Code Composer Studio Integrated Development Environment The Code Composer Studio (CCStudio) IDE offers robust, mature core functions with easy-to-use configuration and graphical visualization tools for faster system design. The CCStudio IDE integrates everything programmers need for application development from start to finish. The CCStudio Platinum Edition (version 3.3) simplifies this process by offering a fully merged IDE that supports the DaVinci processor platform as well as other TI DSP platforms. Free 120-day evaluation tools that include the CCStudio IDE are available. For more information on the Code Composer Studio IDE, visit VICP Signal Processing Library Improves Performance Capabilities Texas Instruments VICP signal processing library is a collection of highly tuned software algorithms that execute on the VICP hardware accelerator. The library allows the application developer to effectively utilize the VICP performance without spending significant time in developing software for the accelerator. The availability of well-tested, performance-tuned algorithms with the VICP signal processing library significantly reduces the application development time. The freed-up MIPS on the DSP enable the application developers to include more differentiation features in the final application. The VICP hardware accelerator is a parallel MAC engine. Due to its flexible architecture, the accelerator is very effective in enhancing DSP performance by taking over execution of varied computationally intensive tasks. VICP supports various algorithms to enable additional DSP resource: Matrix operations/array operations: Ex: Matrix multiplication/transpose. Block add/average/variance Ex: Array multiplication/addition/ fillmem. Array scalar operations Ex: Look-up table Digital signal processing operations: Ex: 1D, 2D FIR filtering Ex: Convolution, correlation Digital image and video processing functions: Ex: Alpha blending, color space conversion Ex: Image rotation, image pack/unpack Ex: Median filtering VLIB 2.0: Video Analytics & Vision Library TI s Video Analytics & Vision Library (VLIB) is a collection of software kernels that are commonly used in automotive-vision and video-security applications. The kernels are optimized for both C64x and C64x+ DSP cores and can accelerate software development and enable performance improvements up to 10 over standard C code on TI s DSPs. The VLIB 2.0 now consists of 50+ royalty-free software kernels including background modeling and subtraction, object feature extraction, tracking, recognition and low-level pixel processing as well as Simulink blocks for each function and the bit-exact version for PC testing capabilities. A Comprehensive Developer Network Makes DaVinci Technology Easy to Implement Valued members of TI s Developer Network provide integral components and tools that complement DaVinci technology. Developers offer various levels of video system integration, optimization and system expertise on DaVinci products worldwide. For a complete list of developers supporting DaVinci technology, please visit the TI Developer Network catalog at

39 Digital Media Processors with DaVinci Technology Resources 37 A Variety of Resources Keep You in the Know DaVinci Technology Webcasts View the archive of TI on-demand DaVinci webcasts to learn how to accelerate and simplify your video system design. Designed for 24/7 access, these webcasts typically last one hour. Visit DaVinci Video Casts: Engineering in Front of the Camera Whether you have two minutes or two hours, a variety of DaVinci technology videos are available for on-demand viewing. These four-minute videos provide engineers the technical meat on the TMS320DM355 and TMS320DM6467 DaVinci processor products, tools and software. Check out the line-up at or DaVinci Technology Training Get hands-on experience on DaVinci technology through online training, oneday and multi-day workshops. Check for the next workshop near you, as well as 24/7 online training and webcasts. Introduction to DaVinci Technology Online Training DM6467 DaVinci Processor for HD Transcoding DaVinci Technical Seminar TMS320DM6437 One-Day Workshop TMS320DM644x Multi-Day Workshop DaVinci White Papers and Articles Download the variety of DaVinci white papers and articles to see the possibilities for designing and developing digital video and audio end equipment devices and applications using DaVinci technology. Visit DaVinci Technology FAQs Have questions about DaVinci technology? Browse the DaVinci questions and answers to find out everything you need to know about DaVinci processors, development tools, applications frameworks, training and support at Delve Into Digital Video with Video360 Podcasts The Video360 podcasts feature industry news, technology updates and practical tips regarding the latest innovations in digital video. Check out the archive at Additional Web Links and Community Resources gstreamer.ti.com Here engineers can find open source files related to DaVinci and join the DaVinci Linux Open Source mailing list for discussions. The Embedded Processors Wiki provides a collaborative environment for digital media engineers using Texas Instruments processors to share technical tips and open source code. The Wiki is designed to get you to market faster by shortening the design knowledge ramp, assist other developers innovate and foster a growth of technology knowledge on hardware and software. DSP Design Support provides quick access to all technical documentation, tools and software details all from one page. DaVinci Technology Literature and Related Technical Documentation All documentation and associated literature, user s guides, application notes and software can be found by clicking on the specific device in the parametric table found on the URLs below. TMS320DM3x DSP Generation TMS320DM64x DSP Generation TMS320DM643x DSP Generation TMS320DM644x DSP Generation TMS320DM646x DSP Generation

40 38 Digital Media Processors with DaVinci Technology High-Performance Analog and Logic Products Compatible Analog Products for DaVinci -Based Digital Video Applications TI provides engineers with highperformance signal chain, interface, clocking and power management solutions to complete digital video applications based on DaVinci technology. The following block diagram represents a few of the many high-performance analog and logic products that help maximize the performance and functionality of your application. VLYNQ TUSB6020 USB 2.0 High-Speed USB 2.0 High-Speed Host/Peripheral/OTG IC 2 TCA6408A I2C I/O Expander LEDs TCA6507 LED Driver GPIOs UART 2 SN74AVC1T45 1-Bit Level Translator TI RS-232 Xceiver Serial Port PWMO DM644x DM643x DaVinci ASP Digital Media Processors Video Out Image In PLL1705 Clock Generator TLV320AIC33 Stereo Codec OPA361 Video Amplifier SN74AVC16T Bit Level Translator TPA2010D1 (Mono) or TPA2012D2 (Stereo) Class-D Audio Amplifier TVP5146M2 Video Decoder Microphone Analog Video PC Speakers EMIF Power Management* SN74AVCA406 SMC/xD Level Translator SN74LV4320A CompactFlash Xceiver SN74AVC32T Bit Level Translator SN75LVDT1422 Serdes for SMCD Compact- Flash Card ATA Card Smart Media/ xd Card LEGEND Processor Interface Amplifier Logic Power ADC/DAC Audio Video/Imaging * Please reference page 120 for Power Management products. TI offers a broad range of compatible Analog products to complement the Digital Video Evaluation Module (DVEVM).

41 OMAP Applications Processors Silicon 39 OMAP35x Platform System-Level Applications Processors Get samples, data sheets, tools and app reports at: Applications Portable media players (PMPs) Portable navigation devices (PNDs) Advanced portable consumer electronics Digital video cameras Portable data collection Point of sale (POS) Gaming Web tablets Medical Smart white goods Smart home controllers Low-cost PCs And more. Key Features Highest-performance ARM core Up to 600-MHz Cortex -A8 with 256-KB L2 cache Low power Clock gating and integrated sleep modes reduce power without loss of performance SmartReflex technology and dynamic voltage frequency scaling Scalable roadmap for enhanced graphics and video Cortex-A8 core and multimedia rich peripherals: USB 2.0, UART, MMC/SD, display, camera interface POWERVR SGX graphics accelerator with software library for 3-D graphics TMS320C64x+ DSP and hardware video accelerator with DaVinci software Performance Device Production Sampling In Development Future OMAP3503 ARM Cortex -A8 CPU Peripherals Serial Interfaces McBSP 5 McSPI MHz ARM Cortex-A8 OMAP3530 OMAP3525 OMAP I C 3 UART 2 UART w/irda 600-MHz ARM Cortex -A8 C64x+ DSP Video accelerator POWERVR SGX graphics 600-MHz ARM Cortex-A8 C64x+ DSP Video accelerator 600-MHz ARM Cortex-A8 POWERVR SGX graphics The OMAP applications processors platform delivers a variety of high-performance applications processors with fast, portable power and a robust support network with a software portfolio that includes open source. The complete platform allows for differentiation and rapid development of applications from multimedia-enhanced devices to general-purpose computer applications that require Linux or Windows CE class operating systems. C64x+ DSP and Video Accelerators (3525/3530 only) POWERVR SGX Graphics Accelerator (3515/3530 only) L3/L4 Interconnect Connectivity USB 2.0 HS OTG Controller HDQ/1-wire Time USB Host Controller 2 OMAP35x OMAP35x LCD Controller EMAC USB PHY 3.3-V I/O DDR2 CAN 3-D graphics Cortex-A8 EMAC USB PHY 3.3-V I/O DDR2 CAN Display Subsystem Video Enc System Timers GP 12 WDT 2 Program/Data Storage SDRC MMC/ SD/ GPMC SDIO 3 10-bit DAC 10-bit DAC Camera I/F Image Pipe Parallel I/F OMAP Next OMAP35x application processors are based on the ARM Cortex -A8 core with more than four times the processing power of today s 300-MHz ARM9 devices, the superscalar 600-MHz Cortex-A8 core is integrated into four new OMAP35x applications processors.

42 40 OMAP Applications Processors Silicon and Support OMAP35x Platform OMAP Processors Turn Everyday Products into New Ways to Work, Socialize and Entertain Targeting applications such as portable navigation devices, Internet appliances, portable media players and personal medical equipment, TI s new scalable OMAP platform offers four distinct single-chip processors with a variety of combinations of the Cortex -A8 core, multimedia rich peripherals, POWERVR SGX graphics accelerator and DaVinci technology for applications wanting to incorporate video. The OMAP35x applications processors are pin-for-pin compatible to make it easy for OEMs to efficiently create a complete product portfolio based on the single platform. Complete Hardware, Software and Tools Solution The modular and extensible OMAP35x Evaluation Module (EVM) provides all the components needed to begin developing today on the OMAP35x platform. This EVM includes a complementary, integrated power management and analog solution specifically for OMAP. The modular design allows you to easily upgrade to future devices. Included with the EVM is the OMAP35x Linux board support package (BSP). The Windows CE BSP is available for download from TI and open source software is available for the OMAP platform. OMAP35x Evaluation Module (EVM) available today Hardware: OMAP35x processor 128-MB LPDDR 128-MB NAND Flash Touchscreen LCD display with landscape/portrait modes Expansion connector provides flexible interface capability Emulator support: TI XDS560 Software OMAP35x Linux BSP: Peripheral drivers U-boot for boot loading Busybox-based root file system Open source development tools Reference schematics Connectivity Daughter card connectivity Ethernet, USB 2.0, SDIO, I 2 C, JTAG, keypad SD/MMC and DDR S-Video output via NTSC/PAL and YPbPr/RGB Developer Network Support Additional applications expertise and support is available from over 400 members of the OMAP Developer Network to help customers take their designs from concept to production as quickly as possible. Online Training Get an inside technical look at the new OMAP35x processor hardware and software. Gain an internal perspective of the possibilities suited for this uniquely compelling generation of OMAP processors. Webcasts Learn more about TI s OMAP35x processors that combine high performance and low power for automotive infotainment, consumer, medical, industrial and embedded applications.

43 OMAP Applications Processors Silicon and Tools 41 OMAP35x Applications Processors Part Frequency L1P L1D L2 RAM ROM External Voltage (V) 1-KU Number CPU Graphics (MHz) (Bytes) (Bytes) (Bytes) (Bytes) (Bytes) Memory I/F DMA Timers Serial Ports Misc Core I/O Packaging Price 1 OMAP3530 ARM POWERVR K 16 K 256 K 64 K 112 K LPDDR, 32 Ch 12 GP, 5 McBSP, 4 McSPI, HW video mm PoP, 515-pin Cortex -A8, SGX NOR, NAND, 2 WDT 3 I 2 C, 1 HS USB 2.0 accelerator, PBGA (12 12 mm), C64x K 32 K + 64 K + 64 K onenand, 64 Ch OTG, 1 HS USB NEON coprocessor, 0.5-mm PoP, 515-pin 48 K 32 K SRAM Host (3 port), LCD, TV out, PBGA (14 14 mm), SRAM SRAM 1 HDQ/1-Wire, Camera I/F, MMU, 0.65-mm, 423-pin 3 UART 3 MMC/SD/SDIO, PBGA (16 16 mm) (1 IrDA+CSI) 196 GPIO (shared) OMAP3525 ARM K 16 K 256 K 64 K 112 K LPDDR, 32 Ch 12 GP, 5 McBSP, 4 McSPI, HW video mm PoP, 515-pin Cortex-A8, NOR, NAND, 2 WDT 3 I 2 C, 1 HS USB 2.0 accelerator, PBGA (12 12 mm), C64x K 32 K + 64 K + 64 K onenand, 64 Ch OTG, 1 HS USB NEON coprocessor, 0.5-mm PoP, 515-pin 48 K 32 K SRAM Host (3 port), LCD, TV out, PBGA (14 14 mm), SRAM SRAM 1 HDQ/1-Wire, Camera I/F, MMU, 0.65-mm, 423-pin 3 UART 3 MMC/SD/SDIO, PBGA (16 16 mm) (1 IrDA+CSI) 196 GPIO (shared) OMAP3515 ARM POWERVR K 16 K 256 K 64 K 112 K LPDDR, 32 Ch 12 GP, 5 McBSP, 4 McSPI, NEON coprocessor, mm PoP, 515-pin Cortex-A8 SGX NOR, NAND, 2 WDT 3 I 2 C, 1 HS USB 2.0 LCD, TV out, PBGA (12 12 mm), onenand, OTG, 1 HS USB Camera I/F, MMU, 0.5-mm PoP, 515-pin SRAM Host (3 port), 3 MMC/SD/SDIO, PBGA (14 14 mm), 1 HDQ/1-Wire, 196 GPIO (shared) 0.65-mm, 423-pin 3 UART PBGA (16 16 mm) (1 IrDA+CSI) OMAP3503 ARM K 16 K 256 K 64 K 112 K LPDDR, 32 Ch 12 GP, 5 McBSP, 4 McSPI, NEON coprocessor, mm PoP, 515-pin Cortex-A8 NOR, NAND, 2 WDT 3 I 2 C, 1 HS USB 2.0 LCD, TV out, PBGA (12 12 mm), onenand, OTG, 1 HS USB Camera I/F, MMU, 0.5-mm PoP, 515-pin SRAM Host (3 port), 3 MMC/SD/SDIO, PBGA (14 14 mm), 1 HDQ/1-Wire, 196 GPIO (shared) 0.65 mm, 423-pin 3 UART PBGA (16 16 mm) (1 IrDA+CSI) 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the New devices are listed in red. most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 MMC1 is 3.0 V. OMAP35x Applications Processor Hardware and Software Development Tools Description Part # $U.S. 1 Evaluation Module (EVM) OMAP35x EVM TMDSEVM3530 (U.S. part number) 1,499 JTAG Emulators XDS560 PCI-Based High-Performance JTAG Emulator TMDSEMU560 3,995 XDS560 Blackhawk USB High-Performance JTAG Emulator TMDSEMU560U (U.S. part number) 2,995 TMDSEMU560U-0E (European part number) XDS510PP-Plus Parallel Port Emulator for Windows TMDSEMUPP (U.S. part number) 1,500 TMDSEMUPP-0E (European part number) XDS510 USB-Based Emulator for Windows TMDSEMUUSB 1,995 Software Development Tools Code Composer Studio Platinum Edition v3.3 Development Tools Bundled with Annual Software Subscription TMDSCCSALL-1 3,595 Supports C6000, C5000, C2000, DaVinci and OMAP processor platforms C6000, C5000, OMAP, DaVinci, C2000 DSP Code Composer Studio Development Tools Annual Software TMDSSUBALL 600 Subscription for Version 3.1 and higher 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. Please see the features supported by platform matrix on page 59 for more details.

44 42 OMAP Applications Processors Silicon OMAP-L1x Platform System-Level Applications Processors Get samples, data sheets, tools and app reports at: Applications Software-Defined Radio (SDR) Power protection systems Programmable automation controller (PAC) Industrial automation Industrial control Test and measurement equipment Audio (digital mixers, teleconference systems) Portable data terminals Barcode scanners And more Key Features Integrate feature-rich GUIs into portable designs Networking simplified with advanced peripherals including 10/100 Ethernet MAC, USB 2.0 HS OTG, SATA Operating system flexibility with Linux, WinCE, VxWorks or DSP/BIOS real-time kernel Scalable architecture; pin-for-pin compatible with select devices in the TMS320C674x DSP generation Low power consumption ranging from 7 mw* deep-sleep power to 452 mw total power Smaller, ergonomic products with mm packaging Integration Device Sampling In Development Future SDRAM OMAP-L1x Applications Processors The OMAP-L1x roadmap offers a variety of scalable architectures including the flexible ARM926EJS processor, extensive connectivity peripherals and a unique TMS320C674x DSP core, which combines the TMS320C67x+ and TMS320C64x+ DSP cores, and is capable of performing both floating- and fixed-point operations in a single core. ARM9 Subsystem ARM 926EJ-S CPU Peripherals UHPI 300-MHz ARM MHz C674x DSP (C67x+/C64x+) DSP OMAP-L138 OMAP-L MHz ARM MHz C674x DSP (C67x+/C64x+) DSP USB 2.0 HS PHY Ethernet MAC DSP Subsystem C674x DSP Core (L137/L138 only) Switched Central Resource (SCR) / EDMA Connectivity USB 2.0 HS USB 1.1 Time EMAC LCD Controller Universal Parallel Port (UPP) (L1x8 only) Video Port Interface (VPIF) (L1x8 only) SATA (L1x8 only) ARM926 OMAP-L1x8 devices include: SATA mddr/ddr2/sdram Video Port Interface (VPIF) McASP, McBSP 10/100 Ethernet MAC USB 2.0 HS PHY USB 1.1 FS PHY Universal parallel port (UPP) LCD controller WD Timer System PWM OMAP-L1x Future SPI (2) McASP Serial Interfaces McBSP (L1x8 only) IC 2 (2) UART (3) Program/Data Storage mddr/ DDR2/ SDRAM 16-bit Async EMIF 16-bit MMC/SD (2) * Power-use scenario deep sleep: 1.0-V core, idle ARM DSP clock OFF, all peripherals clock OFF, RTC ON, PLL disabled, 25 C Power-use scenario active: 70% max load of the DSP running at 300 MHz at 1.2 V, ARM running at 300 MHz doing typical activity (peripheral configurations, other housekeeping activities); mddr 133 MHz/ 16 bit accessed 50% of the time, McBSP, SPI and GPIOs peripherals are active, 25 C OMAP-L1x Block Diagram Providing developers with increased connectivity at a low cost and low power levels, the new OMAP-L1x product line includes ARM9 and ARM9-plus-DSP architectures. These new devices will support various high-level operating systems including Linux, WinCE, VxWorks and DSP/BIOS real-time kernel.

45 OMAP Applications Processors Silicon 43 OMAP-L1x Platform Connectivity, Portability and General- Purpose Processing Made Easy with OMAP-L1x Applications Processors Enabling developers to integrate featurerich GUIs into their portable designs, the OMAP-L1x generation of applications processors include a variety of scalable ARM9 and ARM9-plus-DSP architectures. With pricing starting under U.S. $7 in 1-KU quantities and pin-for-pin compatibility with select devices in the TMS320C674x DSP generation, designers are easily able to choose the right processor to fit their application needs. The high-precision TMS320C67x+ and high-performance TMS320C64x+ DSP cores combine to create the C674x processor core which enables designers to develop software using both fixed- and floating-point instructions in a single device. Boasting the lowest power of any floating-point DSP in the industry, the C674x core utilized in the OMAP-L1x eliminates barriers that once prohibited designers from developing in the floatingpoint environment. With the best of both worlds (fixed-point and floating-point) in a single core, designers now have more flexibility than ever. Increase the Battery Life of Applications Through TI Process Technology Combining industry-leading, cutting-edge 65-nm process technology with lowleakage transistor technology, OMAP-L1x processors offer high performance and scalability with power consumption as low as 7 mw* in deep sleep mode. The highperformance and low-power silicon architecture and power-management software technology used for OMAP-L1x processors give designers not only granularity for frequency and voltage, but also the ability to manipulate the individual peripherals to further optimize power consumption. Chip-level integration includes, but is not limited to: 10/100 EMAC USB 1.1 Host/2.0 Host/Device/OTG MMC/SD controllers Universal parallel port (upp) for interfacing with FPGAs, high-speed data converters Universal Host Port Interface (UHPI) for interfacing with other processors LCD controller Serial ATA Interface (OMAP-L1x8 only) 448-KB on-chip memory Video port interface (OMAP-L1x8 only) Get Started Quickly To get started quickly, designers can purchase OMAP-L1x development kits. Get started today with the OMAP-L137/ TMS320C6747 Floating-Point Starter Kit. Development kits vary in price and capability depending on the customer needs. Most include built-in emulation and Code Composer Studio Integrated Development Environment support. All kits contain Linux board-support packages, Codec Engine, DSP/BIOS kernel and device driver and the associated debugging environment. For more information on OMAP-L1x processors, visit OMAP-L1x Videos Want to hear more about the exciting new OMAP-L1x applications processors? Hear about both the hardware and software that supports these platforms here: * Power-use scenario deep sleep: 1.0-V core, idle ARM DSP clock OFF, all peripherals clock OFF, RTC ON, PLL disabled, 25 C.

46 44 OMAP Applications Processors Silicon and Tools OMAP-L1x Applications Processors Part Frequency L1P L1D L2 RAM External Voltage (V) 1-KU Number CPU (MHz) (Bytes) (Bytes) (Bytes) (Bytes) Memory I/F DMA Timers Serial Ports Misc Core I/O Packaging Price 1 OMAP-L137 ARM926EJS, K 16 K 128 K SDRAM, 32 Ch 1 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, / mm 256-pin C674x K 32 K 256 K Shared NAND, 1 GP/WD USB 1.1, MMC/SD, 3 PWMs, BGA (17 17 mm) NOR 3 McASP, 2 SPI, LCD controller, 2 I 2 C, 3 UART 3 ecap, 2 eqep, UHPI OMAP-L138 ARM926EJS, K 16 K 128 K DDR2, 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, / mm 361-pin C674x K 32 K 256 K Shared mddr, 1 GP/WD USB 1.1, 2 MMC/SD, 2 PWMs, BGA (16 16 mm), NAND, 1 McASP, LCD controller, 0.65-mm 361-pin NOR, 2 McBSP, 2 I 2 C, video interface, BGA (13 13 mm SDRAM 3 UART, 2 SPI UHPI, SATA, 3 ecap 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the New devices are listed in red. most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. OMAP-L1x Applications Processor Hardware and Software Development Tools Description Part # $U.S. 1 Hardware Development Tool OMAP-L137/TMS320C6747 Floating-Point Starter Kit TMDXOSKL137BET 395 JTAG Emulators XDS510PP-Plus Parallel Port Emulator for Windows TMDSEMUPP (U.S. part number) 1,500 TMDSEMUPP-0E (European part number) XDS510 USB-Based Emulator for Windows TMDSEMUUSB 1,995 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the New tools are shown in red. most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. Please see the features supported by platform matrix on page 59 for more details.

47 OMAP Applications Processors Power Mangement Products 45 Power Management Products for the OMAP35x, OMAP-L137 and OMAP-L138 Applications Processors Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com Power Management Products for OMAP35x Applications Processors Part Integration SmartReflex USB2.0 Audio Battery Touchscreen Package Number Level Class DC/DC LDO I 2 C HS OTG Codecs Charger Controller (mm) TPS65950 Full integration Class (2 stereo) 7 7 BGA TPS65930 Full integration Class (1 ) BGA TPS65920 Full integration Class BGA TPS65073 Full integration Class QFN TPS65023 Semi integration Class QFN TPS62350 Discrete Class QFN CSP Power Management Products for the OMAP-L137 Applications Processor Voltages Key Careabouts 1.2-V (PLL) 1.2-V (RTC) 1.2-V (Core) 3.3-V (I/O) 1.8-V (I/O) Integration TPS79901 TPS65023 TPS65023 TPS65023 TPS65023 Flexibility TPS71712 TPS71712 TPS62353 TPS62200 TPS71718 Simplest TPS74801 TPS74801 TPS74801 TPS73633 TPS71718 Low part count TPS62410 TPS62410 TPS62410 TPS62410 TPS71718 Power Management Products for the OMAP-L138 Applications Processor TPS65070 The TPS65070 is a dedicated PMU solution for OMAP-L138/ TMS320C6748 applications. The 1-cell Li-Ion battery charger with power path management can be supplied either by a USB port or a wall adapter. Three highly efficient 2.25-MHz buck converters provide the voltages (core, memory, I/O) for the processor. The voltages can be changed by I 2 C. 1-cell Li-lon Further, two 200-mA LDOs, one boost converter for LED backlighting, a touchscreen controller and 10-bit A/D converter are integrated as well. The TPS65070 comes in a small 6 6-mm QFN package. For additional power supply designs for TI processors, please visit Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level power requirements to ensure an adequate power supply design is used.

48 46 Software and Development Tools expressdsp Software and Development Tools expressdsp Software and Development Tools Complete, Real-Time Software and Development Tools for TI Processors TI s real-time expressdsp Software and Development Tools portfolio includes tightly knit ingredients that will empower developers to tap the full potential of TI s TMS320 DSPs, DaVinci and OMAP processors. Each element is designed to simplify programming and move development from a custom-crafted approach to a new paradigm of interoperable software from multiple vendors supported by a worldwide infrastructure. There has been an explosive growth in real-time applications demanding the real-time processing power of TI digital signal processing. expressdsp tools enable innovators and inventors to speed new products to market and turn ideas into reality. For more information, visit Standardization and Software Reuse Move Development to a New Level Standards enabled for modular, reusable multi-function applications Developers of all experience levels Integrate your own software Catalog of interoperable software Focus on adding value/differentiation Designed to cut development time by up to 50 percent and increase the modularity Learn about TI s offering of development boards and kits to get your design started today (See page 55). Tools and Standards to Simplify Application Development, Reduce System Cost, Enhance Product Robustness and Innovation and Accelerate Time to Market Powerful, integrated development environment (IDE) (Code Composer Studio IDE) Scalable real-time kernel (DSP/BIOS kernel) expressdsp-compliant algorithms (written to the expressdsp Algorithm Interface Standard) Reusable modular software and support from TI s DSP Developer Network Available on TMS320C6000 and TMS320C5000 DSPs, SoC (ARM + DSP) TMS320C2000 digital signal controllers, OMAP application processors and digital media processors with DaVinci technology Advanced data visualization and realtime analysis Powerful code-generation tools What Are expressdsp Software and Development Tools? TI s real-time expressdsp Software and Development Tools provide a complete and open digital signal processing software environment to simplify and streamline the product development process. It provides access to a large number of reusable software components, host tooling and target-side content to reduce development time. Code Composer Studio and Developer Network IDEs See pages 47 and 48 expressdsp Data Visualization Tools SoC Analyzer See page 49 expressdsp Development Tools Code Composer Studio IDE Compiler Editor Debugger Simulator Profiler expressdsp Data Visualization Host Computer Third- Party IDEs Emulator ARM Customer Application Framework Codec Engine expressdsp Digital Media Software Hardware Drivers expressdsp Software Codec Engine Server Operating Systems DSP/BIOS Kernel; NDK* DSP/BIOS Link Target Board DSP expressdsp Digital Media Software Device Drivers Multimedia Framework Products Framework Components Codec Engine xdais and xdm See page 52 expressdsp Digital Media Software Video Codecs Imaging Codecs Speech / Voice Codecs Audio Codecs Other Algorithms See pages 53 and 54 Emulators / Analyzers Operating Systems Device Drivers Development Kits and Boards XDS560 Emulator XDS560 Trace See page 49 DSP/BIOS Kernel DSP/BIOS Link Network Developer s Kit (NDK) MontaVista Linux VirtualLogix Linux Windows CE See pages 50 and 51 Starter Kits Development Boards / EVMs Development Platforms Daughter Cards See pages *NDK only applicable to DSP-centric design expressdsp

49 Software and Development Tools expressdsp Development Tools 47 Code Composer Studio IDE, TI Developer Network IDEs and Development Tools Integrated Development Environment and Debuggers Get more information about Code Composer Studio IDE and TI Developer Network development tools at: Code Composer Studio Development Tools Simplify DSP Development Code Composer Studio (CCStudio) software is a fully integrated development environment (IDE) supporting Texas Instruments industry-leading DaVinci, TMS320C6000, TMS320C5000, TMS320C2000 and OMAP processor platforms. IDE Integrated IDE and debugger CodeWright Editor Project manager Debugger Co-debug of ARM /DSP processors Data visualization Cache visibility Robust host-to-target connection Real-Time Debug Non-intrusive memory access Handle interrupts while halted Advanced Event Triggering Watchpoints Event sequences Non-intrusive counters Code-Generation Tools Industry-leading performance Program-level optimization Simulation Cycle accurate simulation Rewind back-stepping Code coverage Profiling Profile functions and loops Measure cache activity Profile pipeline stalls Host Operating System Support Windows Linux (compilers only) Integrated Development Environment The Code Composer Studio (CCStudio) IDE provides a single-user interface taking you through each step of the application development flow. Familiar tools and interfaces allow users to get started faster than ever before and add functionality to their application thanks to sophisticated productivity tools. The integrated CodeWright Editor greatly improves the code creation experience. Easily manage large multi-user, multi-site projects with the built-in project manager. Debugger Code Composer Studio IDE s integrated debugger has DSP-specific capabilities and advanced breakpoints to simplify development. Conditional or hardware breakpoints are based on full C expressions, local variables or CPU register symbols. A General Extension Language (GEL) script file can be executed when a particular breakpoint hits. Developers can debug code quickly by selectively stepping into, over or out of C function or assembly subroutines. Project Manager Toolbars Disassembly Window Watch Window CodeWright Editor The memory window allows you to inspect each level of memory so that you can debug complex cache coherency issues. Code Composer Studio IDE supports the development of complex systems with multiple boards or multiple processors on a single target board. Global breakpoints are also available for multiprocessor systems. Code Composer Studio s Parallel Debug Manager (PDM) provides synchronized control over multiple processors configured in single- or multiple-scan chains. It can be used to launch individual parent windows to control each processor. The PDM can be used to broadcast commands to different groups of CPUs in the JTAG scan path. A global breakpoint command on one processor can halt other processors when this breakpoint is encountered. The PDM lets developers open up separate debug windows for any CPU on any board in the system. Code Composer Studio IDE also supports popular external scripting languages such as Perl and VBA to help developers automate application testing and validation. DSP/BIOS Kernel Configuration Window Memory Window Data Visualization Output Window Data Visualization GEL Code Composer Studio Development Tools include the features you need to edit, build, debug and visualize your applications.

50 48 Software and Development Tools expressdsp Development Tools Real-Time Debug TI devices include advanced emulation features which provide simple, inexpensive and speed-independent access to the CPU for debugging. Access to registers and memory can be non-intrusive and realtime mode provides for the debugging of code that interacts with interrupts that must not be disabled. Real-time mode allows you to suspend background code at break events while continuing to execute time-critical interrupt service routines. Advanced Event Triggering Advanced Event Triggering (AET) allows a user to halt the CPU or trigger other events based on complex events or sequences. These events include invalid data memory accesses and invalid program accesses. It can also non-intrusively measure performance and count system events (for example, cache events). Code-Generation Tools In the past, developing high-performance DSP code has required optimizing assembly code by hand and an intimate knowledge of the particular device architecture. The TI Code Composer Studio IDE compiler tools address this need by shifting the burden of optimization from hand-coded assembly to the C compiler. With these tools it is possible to exploit the high performance of TI processors without ever writing hand-coded assembly. TI compilers can perform program-level optimizations that evaluate code performance at the application level. With a programlevel view, the compiler is able to generate the small, fast code an assembly program developer with a full system view would generate. This application-level view is leveraged by the compiler to make trade offs that significantly increase performance. Simulation Simulators provide a way for users to begin development prior to having access to a development board. Simulators also have the benefit of providing enhanced visibility into application performance and behavior. Several simulator variants are available allowing users to trade off cycle accuracy, speed and peripheral simulation, with some simulators being ideally suited to algorithm benchmarking and others for more detailed system simulation. The Rewind feature allows you to step and run backward to trace the source of a problem. There is no need to restart the execution of the program. Full reverse mode visibility is available through watch, register and memory windows. Watchpoints allow the user to stop his code on data read or write to a particular memory location. Track memory corruption problems using this feature. The Interrupt Latency Detector enables you to measure the worst-case interrupt latency of the code, including programming interrupt constraints such as disabling GIE/NMIE and architectural behavior such as non-serviceability of interrupts in branch delay slots. Pipeline analysis of a TMS320C55x DSP shows both the stalls and their causes through a detailed pipeline visibility. Code Coverage shows which source lines (both C and assembly) are executed. The CacheTune tool tracks program, data cache activities visualized over time most effective for improving cache utilization. Real-Time Data Exchange Real Time Data Exchange (RTDX ) and High-Speed RTDX (HS-RTDX) allow a developer to exchange data between the target and the host PC without stopping the target application, thus providing a bi-directional data pipe between the application and the host. This allows developers to access data from the application for real-time visibility, or to simulate data input to the device, shortening development time by giving developers a realistic view of the way their systems operate. Profiling Code Composer Studio IDE s interactive profiler makes it easy to quickly measure code performance and ensure the efficient use of the DSP target s resources during debug and development sessions. The profiler allows developers to easily profile all C/C++ functions in their application for instruction cycles or other events such as cache misses/hits, pipeline stalls and branches. Profile ranges can be used to concentrate efforts on high-usage areas of code during optimization, helping developers produce finely-tuned code. Profiling is available for ranges of assembly, C++ or C code in any combination. To increase productivity, all profiling facilities are available throughout the development cycle. Developer Network Development Tools TI is committed to enabling third-party development tools partners to support our ARM -based SoC devices. GreenHills MULTI MULTI is a complete integrated development environment for embedded applications using C, C++ and Embedded C++. The MULTI debugger offers an excellent environment for debugging of SoC devices containing both ARM and the TMS320C64x+ DSP core. MULTI also offers OS-aware debugging for several operating systems including INTEGRITY, velosity, u-velosity, MontaVista Linux and DSP/BIOS kernel. For more information on GreenHills MULTI, please contact sales@ghs.com. Lauterbach Trace32 Trace32 is an ARM debugger that also supports debugging of TI DSPs and thus can provide co-debug support for the ARM and DSP on TI SoC devices. Lauterbach also provides OS aware debugging for most embedded operating systems including Linux. For more information please visit Code Composer Studio full-function evaluation tools are available for a free 120-day evaluation. To order your CD-ROM, visit

51 Software and Development Tools expressdsp Development Tools 49 JTAG Debug and expressdsp Data Visualization Emulators and Analysis Tools Get more information about JTAG debug and expressdsp data visualization tools at: Real-time execution visibility is the key to optimizing and debugging systems. Emulators provide a connection to a target for execution control and system visibility. expressdsp Data Visualization Toolsbased solutions graphically simplify complex, interrelated data sets. XDS560 Emulator The XDS560 Emulation platform provides a robust and reliable IEEE JTAG connection to the target device. It provides high-speed download speeds, Advanced Event Triggering (AET), and High-Speed Real- Time Data Exchange (HS-RTDX) capability. Non-Intrusive Debugging TI devices include advanced emulation features which provide simple, inexpensive and speed-independent access to the CPU for debugging. Access to registers and memory can be non-intrusive and realtime mode provides for the debugging of code that interacts with interrupts that must not be disabled. Real-time mode allows you to suspend background code at break events while continuing to execute time-critical interrupt service routines. Advanced Event Triggering (AET) allows a user to halt the CPU or trigger other events based on complex events or sequences such as invalid data or program memory accesses and invalid program accesses. It can non-intrusively measure performance and count system events (for example, cache events). Real-Time Data Exchange (RTDX ) and High-Speed RTDX (HS-RTDX) allow a developer to exchange data between the target and the host PC, without stopping the target application, thus providing a bi-directional data pipe between the application and the host. This allows developers to access data from the application for real-time visibility, or to simulate data input to the device, shortening development time by giving developers a realistic view of the way their systems operate. XDS560 Trace The XDS560 Trace module helps customers find previously invisible complex real-time bugs. Trace can detect the really hard to find bugs race conditions between events, intermittent real-time glitches, crashes from stack overflows, runaway code and false interrupts without stopping the processor. Trace is a completely non-intrusive debug method that relies on a debug unit inside the DSP so it does not interfere or change the application s real-time behavior. Trace can fine tune code performance and cache optimization of complex switchintensive multi-channel applications. It enables real-time code and event profiling as well as fast and accurate code analysis with profiling, cache view and code coverage for some of the most popular TMS320 devices today used in applications such as video teleconferencing and medical imaging. SoC Analyzer Data Visualization Tool expressdsp Data Visualization SoC Analyzer is TI s high-level system tuning and visibility tool which enables developers to visualize SoC streaming data rather than be limited to post-mortem static data analysis. By being able to capture and graphically display system interactions and load distribution, isolate bottlenecks, identify unexpected behaviors and benchmark application performance, developers are able to maximize efficiency and overall performance while eliminating tedious manual data collection and comparison. This tool is non-invasive and aids in analyzing and identifying problems by capturing and graphically displaying: system interaction, load distribution, bottlenecks in data throughput and other types of behavior. Blackhawk XDS560 Trace delivers non-intrusive, real-time data capture to expose the toughest real-time bugs. Read more about real-time debug at

52 50 Software and Development Tools Operating Systems Operating System Solutions DSP/BIOS Real-Time DSP Kernel and ARM Operating Systems Get more information about DSP/BIOS real-time kernel and ARM operating systems at: Complete Operating System Solutions for Both ARM and DSP-Based Devices DSP/BIOS real-time kernel Available royalty-free with Code Composer Studio (CCStudio) integrated development environment (IDE) Supports DaVinci, OMAP, TMS320C6000, TMS320C5000 and TMS320C2000 processors Provides deterministic, preemptive multithreading DSP/BIOS Link provides ARM DSP program loading and communications services Network Developers Kit (NDK) Integrated with DSP/BIOS kernel Provides standard TCP/IP networking services Includes higher-level applications such as HTTP, TELNET, DNS and DCHP MontaVista Linux Stable, robust, proven embedded Linux Fully supported and maintained by MontaVista Available for DaVinci and OMAP processors Microsoft Windows CE Available on select ARM-based DaVinci and OMAP processors through TI s Authorized Software Providers (ASPs) VirtualLogix Linux Full-featured embedded Linux complete with drivers Co-exists with DSP/BIOS kernel via real-time VLX virtualization technology Available on select TMS320C64x+ DSP corebased processors DSP/BIOS Software Kernel Foundation DSP/BIOS kernel is a robust multithreading kernel, proven in thousands of embedded applications, and is augmented by the NDK embedded networking stack and DSP/BIOS Link ARM DSP communications and control software. DSP/BIOS kernel is highly scalable to minimize footprint requirements. DSP/BIOS kernel provides preemptive multithreading, cache and interrupt management and a selection of interprocessor communication services, including mailboxes, semaphores and variable-length message passing that works transparently across single- and multi-core configurations. To aid debugging of complex applications, DSP/BIOS kernel includes real-time logging services that are integrated with the CCStudio IDE graphical real-time analysis displays. DSP programmers can view the sequence of thread execution, CPU load, or use a low-overhead printf for custom instrumentation. DSP/BIOS kernel is frequently deployed as the real-time DSP kernel on multi-core devices like TI s DaVinci and OMAP SoC processors. In such applications, the DSP/BIOS Link inter-processor communication provides powerful interconnection service to ARM-side operating systems like Linux and Windows CE. DSP/BIOS Link is typically used with TI s Codec Engine, as shown in the DaVinci software pages of this guide (see page 52). Open Source Community Linux TI provides the latest cutting-edge Linux release for its devices. Support for TI devices is provided directly in the mainstream Linux development tree (GIT tree) and may be downloaded at no charge. Development tool support is provided through Code Sourcery s Sourcery G++. Sourcery G++ includes the GNU C and C++ compilers and the Eclipse IDE. Sourcery G++ for ARM supports TI processors with an ARM core, including the OMAP processor family, runs on GNU/Linux and Windows and targets GNU/Linux, µclinux or EABI (bare board) systems. Sourcery G++ Professional Edition features unlimited support from CodeSourcery s expert engineers, and Personal Edition is affordably priced for individual and small development teams. TI sponsors the OMAP and DaVinci Linux communities that provide the option to participate in the latest kernel version development. An active community support mailing list is available through the OMAP Linux Community at linux.omap.com. MontaVista Linux For developers who prefer a Linux OS backed by a commercial support operation, TI also partners with MontaVista. MontaVista Professional Edition Linux provides tools for build, debug, profiling and analysis on the host coupled with a full set of hardened drivers and a rich complement of target-based software components. Windows Embedded CE Windows Embedded CE is a componentized, real-time operating system for a wide range of small footprint devices. Developers use Windows Embedded CE for a variety of smart, connected and service-oriented devices, ranging from power-conscious GPS handhelds to realtime, mission-critical industrial controllers. DSP/BIOS is available royalty-free with the Code Composer Studio fullfunction evaluation tools. To order your CD-ROM, visit

53 Software and Development Tools Operating Systems 51 TI offers a Windows CE DVSDK today for OMAP35x and TMS320DM644x processors. OMAP35x support is available on Windows Embedded CE 6.0, along with Platform Builder, a Visual Studio 2005 plug-in, that provides an integrated development environment (IDE) that enables you to build both application and Windows Embedded CE 6.0 operating system software in a familiar environment while improving existing or creating new embedded devices. Along with a portfolio of hundreds of carefully tested operating system components, the innovative tools and features help Windows Embedded CE 6.0 enhance performance, security features, compatibility and flexibility. USB-Powered, Desktop-Performance and Community-Supported OMAP Development Platform You Cannot Afford to Miss The USB-powered Beagle Board is a lowcost, fan-less single-board computer that unleashes laptop-like performance and expandability without the bulk, expense or noise of typical desktop machines. The Beagle Board enables you to flesh out your innovative ideas in conjunction with a large and growing open source development community and utilizes expansion via a wide range of standard PC peripherals. When it becomes necessary to work with greater hardware customization capabilities or to utilize off-the-shelf software, look to the OMAP35x Evaluation Module from Texas Instruments ( Specifications include: Hardware: OMAP 3530 processor ARM Cortex -A8 CPU HD-capable C64x+ CPU 3-D graphics acceleration 256-MB LPDDR RAM* 256-MB NAND Flash memory On-The-Go high-speed USB port Host-only high-speed USB port* 8-bit SD/MMC 6-in-1 connector DVI-D out S-video (TV) out Stereo audio out/in JTAG in-circuit emulation header * New for Rev C. ** See more at beagleboard.org/project USB/5-V power options LCD*/serial expansion headers Software: Bootloader Diagnostic Linux kernel and tests Library of open source projects** Applications of BeagleBoard: Silent digital media center Web browser (Firefox 3) 3-D and open source gaming Android-based appliances Video codec development Video teleconferencing Thin clients Low-power, low-cost desktop Autonomous robots Wearable computers Digital signs or photo frames Netbook development Intelligent security cameras Automotive vision and media Software-defined radio Home automation And many more! Hardware expansion: b/g/n networking 3G wireless modems Web cameras Analog I/O HDTVs DLP projectors Much more BeagleBoard.org BeagleBoard.org promotes and motivates Open Source software development on OMAP processor-based systems, with over 1,000 developers already participating. The key objectives are to: Enable innovators and hobbyists to explore new domains and experiment with their ideas on an open platform Enable such experiments to be conducted cost effectively and thereby nurture innovation Provide a community collaboration point for OMAP processor platform developers Go to beagleboard.org to order your Beagle Board (U.S. $149) today!

54 52 Software and Development Tools Multimedia Framework Products Algorithm Standards and Frameworks Standards for Algorithm Interoperability and Integration Get more information on algorithm standards and frameworks at: The xdais and xdm standards simplify integration of multiple algorithms into an application. Framework Components enable users to easily develop frameworks that can use xdaiscompliant algorithms. Codec Engine is a low-level framework that automates instantiation of xdais and xdmcompliant algorithms. xdais and xdm expressdsp Algorithm Interface Standard (xdais) xdais for Digital Media (xdm) Well-established standard first introduced in 1999 Enhanced in 2006 to support DaVinci digital media API Framework Components Off-the-shelf modules for querying and allocating xdais algorithm memory and DMA resources Codec Engine Automated instantiation of xdais/xdm algorithms, including resource allocation Transparent remote or local execution Easily incorporated into higherlevel frameworks TI's multimedia framework products include the xdais and xdm algorithm standards and the Codec Engine algorithm execution framework. expressdsp Algorithm Interoperabilty Standard (xdais) xdais and the expressdsp Digital Multimedia (xdm) standards leverage the ability of DSPs to perform a wide range of multimedia functions on a single device. xdais accelerates time-to-revenue by eliminating integration problems caused by algorithms having hard-coded access to system resources that must be shared with other algorithms. xdais forces an algorithm to let the application framework decide which resources to allocate. xdm specifies a standard API for the application to call a particular algorithm class, enabling an integrator to quickly change to an algorithm from a different source if different functionality or performance is required. The xdm standard defines APIs for several classes of codecs, including video decode/encode and audio encode/decode. expressdsp Framework Components For developers who wish to develop expressdsp-compliant frameworks, TI provides low-level components (DSKT, DMAN3) that enable a framework to query xdais-compliant algorithms for their memory and DMA resources and then allocate them. Codec Engine Codec Engine is an algorithm execution framework that automates the invocation and instantiation of expressdsp-compliant algorithms. Codec Engine can execute in ARM -only, ARM+DSP or DSP-only environments and supports concurrent execution of multiple channels and algorithms. Codec Engine is designed to be used in conjunction with higher layer frameworks or middleware that provide A/V synchronization, I/O and network services while calling Codec Engine for algorithmprocessing operations. This approach enables system providers to easily differentiate their applications. Codec Engine is currently available for Linux and Windows CE on ARM-based devices and for DSP/BIOS kernel on DSPs. An important feature of Codec Engine is the consistent APIs that it provides across TI SoC and DSP devices, enabling scalable development for multiple TI devices and easier migration to new TI architectures. Application Framework xdm IVIDDEC APIs MPEG-4 Decode IALG IDMA3 H.264 Decode IALG IDMA3 Codec Engine expressdsp Framework Components For further technical information or for no-charge downloads of multimedia framework products, go to Query & Grant Memory and DMA, Resources Memory Query & Grant Memory and DMA, Resources Application Framework Embedded OS Drivers Use of xdais and xdm APIs enable easy integration of multiple algorithms into an application. DSP

55 Software and Development Tools expressdsp Digital Media Software 53 Digital Media Software Portfolio Encoders, Decoders, Codecs and Libraries Get more information about expressdsp Digital Media Software at: expressdsp Digital Media Software is a set of production-tested encoders, decoders, codecs and libraries that address the most common mediaprocessing functions required by embedded product OEMs. Features and Benefits High-quality encoding Tested against PC-based reference encoders to within 0.5 db PSNR at typical bit rates Robust, error resilient decoding Tested with ITU standard test streams and error streams Application-specific libraries Video analytics for security applications Graphics for 2-D and 3-D video on DaVinci technology All TI Digital Media Software is compliant to the xdm API and integrated with the DaVinci software infrastructure TI Digital Media Software is available through the TI estore at or through TI Authorized Software Providers (complete list can be found at Request your free evaluation of TI digital media software at expressdsp Digital Media Software is production tested and optimized for TI DSP and SoC platforms. Instead of investing time and effort in standardized media encoders, decoders and libraries, OEMs are able to save years of development time and can focus development efforts on differentiating product features. expressdsp Digital Media Software is available for free evaluation on TI EVMs, and numerous flexible production licensing options exist to fit any development need. Visit to: Evaluate or purchase digital media software for your TI DVEVM or DVDP board. Learn more about flexible production licensing that allows for a range of pricing options based on project quantity View published suggested resale pricing options. Broad expressdsp Digital Media Software Portfolio Unlike example software or freeware, digital media software components all follow stringent coding guidelines. Each module includes a re-targetable production library featuring reentrant code. Each module is fully documented with a data sheet, release notes, user s guide and usage examples. All digital media software is unit tested and system tested with thousands of test vectors in world-class testing labs. The encoders and decoders are hardened with a wide range of test vectors from end equipments and applications in which TI digital media software is deployed: wireless handsets, wireless networking equipment, video/ip phones, streaming media appliances, set-top boxes and video infrastructure to name just a few. Target Hardware Codecs DM646x DM644x DM643x DM648 DM3x OMAP35x Video & Imaging H.264 Video Dec H.264 Video Enc JPEG Imaging Dec JPEG Imaging Enc MPEG-2 Video Dec MPEG-2 Video Enc MPEG-4 Video Dec MPEG-4 Video Enc VC1 Video Dec Audio AAC Audio Dec AAC Audio Enc MP3 Audio Dec MP3 Audio Enc WMA Audio Dec/Enc Voice G.711 Dec/Enc Open Source Other Network Developer Open Source Kit (NDK)

56 54 Software and Development Tools expressdsp Digital Media Software Authorized Software Providers Support TI Digital Media Software The TI Digital Media Software portfolio is a collection of optimized encoders, decoders, codecs and libraries licensed by TI and by TI Authorized Software Providers (ASPs) to customers worldwide. Each software component was developed by TI or by TI Developer Network members in compliance with the xdais expressdsp algorithm interface standard and xdm extension for digital media, and each component is packaged for easy integration with DaVinci and expressdsp software framework building blocks. The entire portfolio is available with support from highly qualified, trained ASPs, who can also offer additional software IP products or custom engineering services to enable rapid time to market for TI customers. Authorized Software Providers by Region Region ASP Americas Europe China Japan Korea India Asia Other ATEME einfochips esol Ingenient Ittiam LogicPD MPC Data NSU SEED Electronic Tech. TES Electronic Solutions Wintech Digital Customers have several entry points to designing with TI SoC and DSP processors, ranging from high-level frameworks and applications to low-level functions. The concept of multiple development entry points is also incorporated in expressdsp Digital Media Software, which enables a low-level entry point at the codec API layer and also allows higher-level entry points through Codec Engine and application software built on it. Because all TI digital media software components have been tested and integrated with Codec Engine and the full DaVinci software infrastructure, mid-level entry points are fully enabled. Even higher-level entry points are possible via applications and operating systems built on the DaVinci software foundation; some examples include Windows CE with the DirectShow media framework and GStreamer for Linux. To request a free software evaluation, sign up for updates or ask questions, go to Contact Me at

57 Software and Development Tools expressdsp Software and Development Tools 55 Getting Started Integrated Development Environment, Codecs, Training and Kits Code Composer Studio Integrated Development Environment Free Evaluation Tools Supports TMS320C2000, TMS320C5000, TMS320C6000, OMAP and DaVinci processors 120-day full-featured trial Online tutorials and multimedia feature demos show off key capabilities Order CD-ROM online or download at Evaluation Codecs High-quality, production-tested encoders, decoders, codecs and other digital media software modules and algorithms Free supported 60-day software evaluation More information on digital media software, including a complete inventory, can be found at Training Workshops Online training View online at Development Kits and Boards DSP starter kits (DSKs) offer complete low-cost evaluator s bundles including development tools and hardware Evaluation modules (EVMs) include a wide range of TI and third-party development boards and production bundles Development platforms combine production-ready, application-specific software and hardware in an easyto-use development environment for rapid prototyping Daughter cards and plug-on modules compliment TI or third-party DSKs and development boards TI and members of the TI DSP Developer Network offer a wide range of hardware and software getting started kits and production-ready solutions to jump start new designs. These bundled solutions are an excellent way to evaluate TI processors, digital media codecs and test drive the expressdsp tools offering to begin application development. Many productionready bundles include full software licenses, production-ready software and standalone emulators to take an application into production. A wide selection of daughter cards is also available to add capability and new hardware features. Reference designs provide developers with hardware and software ready for rapid product implementation and deployment, for fully system tested end equipment applications. DSP Starter Kits Starting at just U.S. $395, Digital Signal Processing Starter Kits (DSKs) and ezdsp Starter Kits are a low-cost entry-level means of evaluating TI processor platforms and expressdsp development tools. TI, partnered with Spectrum Digital Inc., offers a variety of processor selections in each platform to let developers test algorithms, benchmark code and write simple programs to prove out designs and evaluate the processor architecture. The DSKs are bundled with a special Code Composer Studio (CCStudio) IDE that runs exclusively through the embedded emulation on the target board, so that developers can explore the rich feature set that CCStudio IDE has to offer. Nearly a dozen DSKs are available today for the TMS320C2000, TMS320C5000 and TMS320C6000 processor platforms. DaVinci Development Tools/Kits Comprehensive ARM /DSP system-level tooling helps developers jump start development of any digital video application. The DaVinci kits are designed to simplify development of digital video applications such as video phones, automotive infotainment, digital still cameras, streaming media, IP set-top boxes, video security systems and digital video products that have yet to be invented.(v&i Solns Tools) Digital Video Development Platform (DVDP) includes both hardware and software to enable immediate evaluation of DaVinci DSP-based processors. Available today for both the TMS320DM648 and TMS320DM6437 digital media processors, the DVDP provides developers with a comprehensive platform that can be used throughout the entire design process. Digital Video Evaluation Module (DVEVM), comprised of both hardware and software, enables developers to start instantaneous evaluation of DaVinci ARM9-based processors and begin building digital video applications. Available today on TMS320DM6446, TMS320DM355, TMS320DM357, TMS320DM365 and TMS320DM6467 digital media processors. Whether you are just starting a project and need to determine which processor best suits your application or beginning product design, TI s Starter Kits, Development Platforms and Evaluation Modules offer developers bundled solutions designed to simplify and accelerate the application design process from concept through production.

58 56 Software and Development Tools expressdsp Software and Development Tools DaVinci Development Tools and Software Evaluation, Development and Production Kits Get more information on DaVinci development tools and software at: TI offers a wide range of development tools specifically for aiding DaVinci technology-based design. For a complete list, visit DaVinci ARM and ARM+DSP Tools DaVinci DSP Evaluation and Development Production Evaluation, Development and Production DM6446 DM355 DM6467 DM6437 DM648 DVEVM DVEVM DVEVM MontaVista Pro DVDP DVDP U.S. $2,495 U.S. $495 U.S. $1,995 U.S. $8,995 U.S. $495 U.S. $1,295 Hardware DaVinci target board Video camera/lcd Power supply w/ US, EU and UK cables Connectivity cables Mass storage 40-GB HDD 2-GB NAND Flash 40-GB HDD IR remote control On-board EMU Software Linux-based DVSDK DSP/BIOS kernel-based DVSDK MontaVista Linux Pro Demo Demo Demo License Third-party software demos and evals GHS MULTI Ittiam C64x+ Linux code-generation tools Eval Eval Eval Code Composer Studio IDE v3.3 H/W version Eval VLIB Software Library VLIB demonstration requires the TMS320DM6437 Digital Video Development Platform (DVDP) based on DaVinci technology. For more information or to request access, go to

59 Software and Development Tools expressdsp Software and Development Tools 57 OMAP Development Tools and Software Evaluation, Development and Production Kits Get more information on OMAP development tools and software at: Evaluation and Development Production MontaVista Mobilinux MontaVista Pro OMAP3503 EVM OMAP-L137 Starter Kit for OMAP35x for OMAP-L1x OMAP ARM U.S. $1,495 U.S. $395 U.S. $26,985 U.S. $8,995 Hardware OMAP target board Power supply with US, EU, and UK cables Connectivity cables Memory 64-MB mobile DDR SDRAM 4-MB serial Flash 128-MB NAND Flash 64-MB SDRAM Display with touch screen On-board emulation Software TI platform support (SDK) TI DVSDK * * Linux BSP (kernel ) * WinCE BSP * Third-party development tools (evaluation)** * Code Composer Studio IDE (tied to hardware) MontaVista Pro 5 Demo License MontaVista Mobilinux production license * Available through with EVM registration ** Sourcery G++ evaluation tools from CodeSourcery ARM RVDS Evaluation IDE and Microsoft Visual Studio Evaluation tools DSP Development Tools Evaluation and Development Kits Get more information on DSP development tools and software at: DSP Starter Kits Part Number TMDSDSK6713 TMDSDSK6416-T TMDSDSK6455 TMDSDSK5416 TMDSDSK5510 TMDSDSK5509 TMDXEZ28044 TMDSEZD2407 TMDSEZD2812 TMDSEZS2812 TMDSEZS2808 TMDXEZ28335 Description TMS320C6713 DSP Starter Kit (DSK) TMS320C6416 DSP Starter Kit (DSK) TMS320C6455 DSP Starter Kit (DSK) TMS320C54x DSP Starter Kit (DSK) TMS320C55x DSP Starter Kit (DSK) TMS320C5509 DSP Starter Kit (DSK) TMS320F28044 ezdsp Starter Kit TMS320LF2407A ezdsp Starter Kit TMS320F2812 ezdsp Starter Kit F2812 ezdsp Starter Kit (Socketed) F2808 ezdsp Starter Kit (Socketed) TMS320F28335 ezdsp Starter Kit

60 58 Software and Development Tools TI DSP Developer Network A Wide Variety of DSP Solutions Utilize the TI DSP Developer Network for: Complete solutions Members offer complete solutions for quickly solving application problems. Most solutions incorporate TI s data converters, power management devices and other quality TI devices. Reduced time to market Timeconsuming programming and troubleshooting tasks can be eliminated by utilizing proven hardware, software, algorithms and libraries from members. Lower costs Don t spend time and money recreating something that has already been produced. Members enable you to dedicate your resources to producing valueadded, application-specific products. Additional expertise Members provide consulting services, training, integration, contract engineering, research and development and much more. They are an extra resource to assist you with your product development efforts. expressdsp -Compliant Products Texas Instruments, in conjunction with its industry-leading DSP Developer Network, offers an array of expressdspcompliant algorithms designed to reduce system integration time and lower support and development costs by eliminating custom coding tasks. DSP Developer Network members also provide expressdsp-compliant plug-in tools to reduce development time. TI DSP Developer Network Overview More than 200 independent DSP Developer Network members provide a vital link between TI silicon and the final application by providing additional hardware, algorithms and libraries, software tools and consulting services. Products/services include: End-equipment solutions Production-ready, DSP/SoC applicationspecific resources and collateral bundles, inclusive of block diagrams, application notes, tools, software and other full end-equipment solutionrelated information Embedded software Productiontested, application-targeted software components, optimized at the processorspecific level, for popular industry standards Engineering services DSP/SoC generation-specific hardware and software design, manufacturing and consulting services, ranging from boardlevel designs to full-turnkey support Development tools DSP/SoC generation-specific physical development of evaluation boards and kits, application-targeted companion chips and cards, and other development resources such as emulation tools, Embedded Software Operating systems Codecs and algorithms Application-specific libraries Framework software Drivers Signal-processing libraries Development Tools Compilers, assemblers, linkers Evaluation modules and development boards Debuggers Emulators/Analyzers Daughter cards Free evaluation tools Get additional information and search for Developer Network products and services at: reference designs, documentation and user s guides DSP Developer Network Product Catalog on TI s Website For information regarding the vast array of products available from TI s DSP Developer Network, check out: Extensive information can be found through searchable listings of members located worldwide. Search hundreds of listings by company, device supported, keyword, product name or product category. DSP Developer Network Logo Indicates TMS320 Processor-Based Solution Registered TI DSP Developer Network members use a distinctive DSP Developer Network logo on various printed and electronic collateral. Look for the logo to identify companies that are ready to provide a TMS320 processorbased solution. DSP Developer Network Engineering Services Digital hardware/board design Software design services Full turnkey design services Analog/RF/Power designs Manufacturing Consulting End-Equipment Solutions Video and imaging Communications and telecom Security Wireless Solutions to reduce development time

61 Software and Development Tools Embedded Processing Development Tools Feature Matrix 59 TI Part Number TMDSCCSALL-1 Code Composer Studio (CCStudio) IDE Development Tools Platinum Edition X X X X X 3,595 TMDSCCS C2000 Code Composer Studio IDE Development Tools 2 X X 2 X 2 X X SPRC119 Code Composer Studio IDE Free Evaluation Tools L L X L Free TMDSEVM3530 OMAP35x Evaluation Module (EVM) X X 5 1,495 TMDXOSKL137BET OMAP-L137/TMS320C6747 Floating Point Starter Kit X 3 X 3 X X 3 X X TMDSEVM355 TMS320DM355 Digital Video Evaluation Module (DVEVM) X X 495 TMDXEVM357 TMS320DM357 Digital Video Evaluation Module (DVEVM) X X 895 TMDXEVM365 TMS320DM365 Digital Video Evaluation Module (DVEVM) X X 595 TMDSEVM6446 TMS320DM644x Digital Video Evaluation Module (DVEVM) X X 2,495 TMDSEVM6467 TMS320DM6467 Digital Video Evaluation Module (DVEVM) X X 1,995 TMDSVDP6437 TMS320DM6437 Digital Video Development Platform (DVDP) X 3 X 3 X X 3 X X 495 TMDXDVP648 TMS320DM648 Digital Video Development Platform (DVDP) L L X L X X 1,295 TMDXEVM6474 TMS320C6474 Evaluation Module X 3 X 3 X X 3 X 1,995 TMDXEVM6424 TMS320C6424 Evaluation Module X 3 X 3 X X 3 X 495 TMDXEVM6452 TMS320C6452 Evaluation Module X 3 X 3 X X 3 X 1,295 TMDXEVM6457 TMS320C6457 Evaluation Module X 3 X 3 X X 3 X 1,995 TMDSDSK6713 TMS320C6713 DSP Starter Kit (DSK) 4 X 3 X 3 X X 3 X 415 TMDSDSK6416-T TMS320C6416 DSP Starter Kit (DSK) 4 X 3 X 3 X X 3 X 495 TMDSDSK6455 TMS320C6455 DSP Starter Kit (DSK) X 3 X 3 X X 3 X 595 TMDXEVM6455 TMS320C6455 Evaluation Module w/ srio 4 X 3 X 3 X X 3 X 1,795 TMDSEVM642 TMS320DM642 Evaluation Module X 1,995 TMDSDMK642 TMS320DM642 Digital Media Development Kit X X X X X X X 6,495 TMDSPDK6727 Pro Audio Development Kit (PADK) X 1,495 TMDSPDB6727 Pro Audio Development Kit (PADK) Bundle X X X X X X X 5,995 TMDSDSK5416 TMS320C54x DSP Starter Kit (DSK) 4 X 3 X 3 X X 3 X 395 TMDSDSK5510 TMS320C55x DSP Starter Kit (DSK) 4 X 3 X 3 X X 3 X 395 TMDSDSK5509 TMS320C5509A DSP Starter Kit (DSK) 4 X 3 X 3 X X 3 X 495 TMDXEVM5505 TMS320VC5505 Evaluation Module X 3 X 3 X 3 X X 395 TMDX28027USB controlstick USB Evaluation Tool X 3 X X X X 39 TMDXDOCK28027 TMS320F28027 Experimenter s Kit X 3 X X X X 79 TMDSDOCK2808 TMS320F2808 Experimenter s Kit X 3 X X X X 89 TMDSDOCK28335 TMS320F28335 Experimenter s Kit X 3 X X X X 99 TMDXDOCK28343 TMS320C28343 Experimenter s Kit X 3 X X X 159 TMDXDOCK TMS320C28346 Experimenter s Kit X 3 X X X 189 TMDSPREX28 TMS320F28335 Peripheral Explorer Kit X 3 X X X 179 TMDSDCDC2KIT Digital Power Experimenter s Kit X 3 X X X 229 TMDSDCDC8KIT Digital Power Developer s Kit X 3 X X X 325 TMDSACDCKIT AC/DC Developer s Kit X 3 X X X 695 TMDSRESDCKIT Resonant DC/DC Developer s Kit X 3 X X X X 229 TMDSENRGYKIT Renewable Energy Developer s Kit X 3 X X X 349 TMDS1MTRPFCKIT Motor Control and PFC Developer s Kit X 3 X X X X 369 TMDS2MTRPFCKIT Dual Motor Control and PFC Developer s Kit X 3 X X X X 399 TMDSEMU2000U TMS320C2000 Blackhawk USB JTAG Emulator X 299 TMDSEMU560PCI XDS560 Blackhawk PCI-Bus High-Performance JTAG Emulator X 2,995 TMDSEMU560U XDS560 Blackhawk USB High-Performance Emulator X 2,999 TMDSEMU560T XDS560 USB Trace Emulator X 9,995 TMDSEMU510U XDS510 -Class USB Plus JTAG Emulator (SDI) X 1,595 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 C2000 target support only. DSP/BIOS kernel and C++ compiler are supported on C28x platform only. 4 Orderable part number will vary to specify European power cords 3 Code Composer Studio IDE is limited to use with the target hardware board ONLY. 5 Available at with EVM registraiton X = included Tool Description L = Full featured Limited to 120 days Annual Subscription Code Composer Studio IDE TMS320 DSP Algorithm Standard Developer s Kit DSP/BIOS Real-Time Operating System/Kernel Services Code-Generation Tools C/C++ Compiler/Assembler/Linker Target Board (Hardware) Standalone Emulator Onboard Emulator Linux DVSDK DSP/BIOS DVSDK Price $ U.S. 1

62 60 Embedded Processing Support Resources Support Embedded Processing Support Design Answers at Your Fingertips Obtain additional information on training, technical documentation and more at: Get to market easily and quickly by leveraging TI support. Customers can access fast and accurate support for their embedded applications. From Getting Started to technical documentation and much more, TI offers expanded technical support for DSP and MCU design and development 24/7. Online training, webcasts, workshops, MCU Day and TI Technology Days provide convenient training choices. Publications etech Innovations enewsletter Receive the latest digital signal processing news from TI including: DSP silicon, software, video/imaging systems applications and support information. Subscribe today for this free monthly enewsletter to be delivered right to your inbox in html or text format. My.TI.com Newsletter Receive a customized weekly e-newsletter and choose the topics and stories that you want to read. Learn about the latest technology in audio, communications, control, security, systems, telecom, video/imaging and much more. MCU NewsFlash From ultra-low power MSP430 MCUs and high-performance TMS320C2000 realtime controllers, to 32-bit general-purpose ARM7-based MCUs, TI offers designers the broadest range of embedded control solutions, and provides you the latest MCU news updates via the MCU NewsFlash, published quarterly. Subscribe at Motor Control Newsletter Get the latest information on motor-control solutions from TI from the industry s highest-performance optimized controllers to leading analog solutions designed specifically for motor-control applications. We ll also update you on the latest hardware and software tools to help speed your designs to production. Technical Documentation Find complete and easy-to-use data sheets, user s guides and application reports for all TI DSP platforms and corresponding DSP software development tools. TI Product Information Center (PIC) Worldwide technical support staff are available to answer questions and troubleshoot problems. Contact the PIC by or directly by phone. See the worldwide contact information inside the front cover for the and phone number appropriate to your area. Tech-Working: TI E2E Online Community Interact with peers and engineers from TI to solve design problems via forums, find and share development tools in community groups or network as you might in your favorite social networking site. You can also log-in to find/follow friends, add favorites, comment, rate, change your avatar and/or receive updates via your personal feed. All while gaining valuable knowledge for your current or next design. e2e.ti.com.

63 Embedded Processing Support Resources Support 61 DSP Support Getting Started with TI DSP TI s web-based Getting Started DSP support tool helps engineers get their designs from inspiration to implementation quickly and easily. Designers choosing to use TI DSPs in their real-time applications get easy-to-access introductory DSP content, thus decreasing the learning curve and speeding products to market. Video Casts: Engineering in Front of the Camera TI provides a variety of quick videos, all under five minutes, showcasing the OMAP35x and DaVinci processors. These on-demand video casts feature complete platform information including hardware, software, system block diagrams and much more. DM355 Video Casts The DM355 video casts give engineers the technical meat on the DM355 processor and solutions. Topics include: Codec Engine, software, tools, an architectural overview and discussions on the future of video. DM6467 Video Casts View one or all of the DM6467 video casts for a look at why this DaVinci SoC is ideal for HD transcoding. TI experts discuss the future of transcoding, as well as the DM6467 processor, DVEVM and video surveillance. OMAP35x Video Casts Download the newest video casts discussing the benefits of the OMAP35x applications processor and the Open Source Community. Podcasts The podcasts feature industry news, technology updates and practical tips regarding the latest innovations from TI in digital signal processing. Check out the archive at Blogs Check out the latest posts by TI DSP leaders. Interesting, enlightening and opinionated, they ll give you insight into trends at TI and throughout the industry. See what they re saying at DSP Webcasts TI conducts free DSP webcasts to address topics most critical to designers. A typical webcast includes a presentation followed by a question & answer session with the technical engineering presenter specializing in the topic. After the live event, DSP, OMAP and DaVinci technology webcasts are available via the webcast archives. Open Source Software TI sees open source as a means to drive innovation, ultimately enabling our customers to create market-leading devices that push the envelope with performance and advanced capabilities. TI participates in a number of open source industry initiatives such as the Open Handset Alliance, ARM s Mobile Linux Initiative, The Linux Foundation, GStreamer, Eclipse and Helix, among others. This site will serve to foster collaboration between TI and the open source community and provides a list of ongoing open source projects we support. opensource.ti.com. Embedded Processors Wiki The Embedded Processors Wiki provides a collaborative environment for digital media engineers using Texas Instruments processors to share technical tips and open source code. The Wiki is designed to get you to market faster by shortening the design knowledge ramp, assist other developers innovate and foster a growth of technology knowledge on hardware and software. Microcontroller Support New Interactive MCU Selection Tool Try our new device selection tool to find the right microcontroller with all the features and functions you need. MCU Development Tools Learn more about the great selection of easy-to-use MCU development tools that add functionality and speed your design cycle. and

64 62 Embedded Processing Support Resources Support Training Resources Online Training Webcast Library One-Day Workshops Multi-Day Workshops Get updated information on TI training resources at: TI Technology Days TI Technology Days include technical design seminars that focus on the techniques of practical design applications illustrated along with technology exhibits. The sessions include topics within multiple tracks on analog signal chain, digital signal processing, low-power RF, microcontrollers and power management. There are also representatives available at various booths for dialog on specific TI products. Online Training A variety of free online training courses are available to you at your fingertips 24/7. Learn more about how to design your signal processing application with self-paced, online training courses covering DSP, DaVinci, MSP430, C2000 and analog applications, easy-to-use software development tools and more. Visit for more FREE courses! DSP Webcast Library The library contains a variety of webcasts ranging from technical How-Tos to systems solution presentations and product overviews which address current topics most critical to designers. Designed for 24/7 access worldwide via the Web, these webcasts typically last one hour. Each includes a presentation followed by a Question & Answer session with the technical engineering presenter specializing in the topic. To access the library, visit One-Day Workshops One-day workshops are introductory courses designed to offer product or technology knowledge. These workshops include a significant hands-on section and are ideal introductions to get started with TI DSPs and MCUs. Visit for a complete list of courses. TMS320DM6437 DaVinci Technology One-Day Workshop In-depth look at the core device architecture of TMS320DM643x processors and an overview of the software infrastructure Audio and video driver usage in DSP/BIOS kernel and Linux-based systems Codec Engine framework Capabilities of the DSP/BIOS kernel and Linux operating systems TMS320F2808 ezdsp One-Day Workshop Basic DSP controller implementation TMS320F2808 controller architecture How to use PC-based development tools F2808 ezdsp and Code Composer Studio IDE System design TMS320F28335 ezdsp One-Day Workshop Basic digital signal controller implementation TMS320F28335 controller architecture How to use PC-based development tools F28335 ezdsp and Code Composer Studio IDE Peripheral programming and system design C2000 Piccolo One-Day Workshop Overview of Piccolo F2802x devices Basic system initialization Peripheral set-up Programming into Flash memory via Flash plug-in utility MSP430 One-Day Workshop MSP430 introduction MSP430 nuts and bolts Ultra-low power concept Analog peripherals Timing and communication

65 Embedded Processing Support Resources Support 63 Multi-Day Workshops Three- or four-day, hands-on, advanced, educational courses are highly technical and designed for engineers who want to sharpen their design and development skills. Managed by TI s technical training staff, these workshops include extensive hands-on labs emphasizing the demonstration and application of techniques and skills. TMS320DM644x DaVinci Technology Workshop Introduction to DaVinci technology: device, software and tools Application layer programming Signal-processing layer programming Advanced system programming details TMS320DM64x Video System Integration Workshop TMS320DM642 hardware Code Composer Studio IDE introduction DSP/BIOS kernel introduction Reference frameworks Video basics Video peripherals Using and modifying the FVID driver Optimizations Audio Communications TMS320C6000 DSP Optimization Workshop C6000 DSP architecture and pipeline Introduction to Code Composer Studio IDE using C programs Optimizing code Software pipelining techniques Numerical issues with fixed-point processors Writing high-speed interruptible code Internal memory and cache TMS320C645x DSP System Integration Workshop Architectural overview Code Composer Studio IDE basics Introduction to DSP/BIOS kernel Basic memory setup, PLL, CSL 3.0 Interrupts EDMA 3.0 Using the EMAC/NDK Serial RapidIO and MSGQ Optimizing your code/system System design considerations TMS320C64x /C67x DSP System Integration Workshop Use Code Composer Studio Platinum Edition 3.1 IDE Design a real-time double-buffered system DSP/BIOS kernel scheduling McBSP serial ports multi-channel features EDMA s advanced features Evaluate and use C6000 boot loader Setting up a bootable image in Flash ROM DSP/BIOS OS Design Workshop Real-time system concepts Work with software development tools provided by Code Composer Studio IDE and TMS320C6416 DSP Software interrupts (SWI) Multi-tasking Communicating with tasks Writing device drivers Memory management/dynamic object creation capabilities TMS320C28x DSP Workshop Architectural overview Programming development environment Peripheral register header files Reset and interrupts system Initialization analog-to-digital Converter control peripherals Numerical concepts and IQMath Using DSP/BIOS kernel System design communications Digital Power Multi-Day Workshop Introduction to digital power Digital PSU and controller design using the C2000 controller Digital compensator design Running multiple stand-alone power supplies from Flash Registration To register for these workshops, please visit

66 64 TI Embedded Processor Device Nomenclature Texas Instruments embedded processor device nomenclature includes a prefix (signifying the device qualification status), the device family number (i.e., 320 or 32 for TI DSPs), a technology symbol, the device number (typically three to five alpha-numeric characters), a two- or three-character package type code, an optional temperature range character, and the device speed designation. Other variations do exist on a limited basis. See the specific device data sheet for additional information on device nomenclature for that device. For the most updated information, visit Typical Digital Signal Processor Nomenclature TMS Prefix TMX = Experimental device TMS = Qualified device Device Family 320 = TMS320 DSP family Technology DM = Digital media Device C64x+ DSP: DM 6437 ( ) ZWT ( ) 6 Device Speed Range 4 = 400 MHz 5 = 500 MHz 6 = 600 MHz Temperature Range (Junction) Blank = 0 C to 90 C, Commercial Grade Q = 40 C to 125 C, Automotive Grade R = 0 C to 90 C, Commercial Grade (Tape and Reel) S = 40 C to 125 C, Automotive Grade (Tape and Reel) Package Type ZWT = 361-pin plastic BGA, with Pb-Free soldered balls ZDU = 376-pin plastic BGA, with Pb-Free soldered balls (Green) Silicon Revision Blank = Revision 1.3 Typical Applications Processor Nomenclature X Prefix X = Experimental device P = Prototype device null = Production device OMAP3530 B CBB Package Type CBB = 515-pin PGBA CUS = 423-pin PBGA Device Silicon Revision TMS320C2000 Microcontroller Nomenclature TMS320 F Device Family TMS = TMS320 MCU Family Technology F = Flash EEPROM C = ROM Device Number 280x/281x/282x = Fixed-Point Series 2802x/2803x = Piccolo Series 283x = Delfino Floating-Point Series ZGM A Temperature Range A = 40 C to 85 C T = 40 C to 105 C S = 40 C to 125 C Q = 40 C to 125 C (AEC-Q100) Package Type MSP430 Part Number Nomenclature MSP 430 Prefix MSP = Mixed-signal processor XMS = Experimental silicon F G I QZW R Optional R = Tape & Reel Device Family 430 = 430 MCU platform Package Memory Type F = Flash C = ROM P = OTP Optional: Special Function G = Medical E = E-Meter W = Water Meter Optional: Special Function 1xx 3xx 5xx 2xx 4xx Temperature Range I = 40 C to 85 C T = 40 C to 105 C Family Memory size and Peripherals configuration Series of Similar Function

67 Complementary Products for the TMS320 DSP Family 65 Analog Switch Provide a high-speed bidirectional bus interface between DSPs, CPUs, industry standard buses, memory and peripherals. TI s analog switches are designed to pass (or isolate) analog signals (both voltage and current) and support analog applications such as audio and video data transmission. TI s TS product family encompasses a variety of analog switches with different ON resistances, bandwidth, charge injection and total harmonic distortion to target any application. Voltage Level Translation Interfacing any low-voltage component with legacy, high-voltage devices. Protects a DSP that has nonover-voltage tolerant inputs. These dual-supply devices allow for bidirectional level translation between different voltage nodes from 1.2 V to 3.6 V and 1.65 V to 5.5 V. I/O Expansion TI s I 2 C I/O expanders allow system layout to be greatly simplified. Two-wire bus reduces PCB complexity through trace reduction and routing simplification. Bus Interface Products The LVC and ALVC families offer V CC fully specified to match the needs of the TMS320 DSP family: 3.3 V, 2.5 V and 1.8 V. Broad range of surface mount packaging options from SOIC to BGA. Propagation delays of 3 ns and below. Bus hold on data inputs decreases system component count by eliminating the need for external pull-up/ pull-down resistors. Analog Switches for TMS320 DSPs Linear and Logic Products Ron Ron Ron Flatness Mismatch V+ (V) V+ (V) ON Time OFF Time Device (max) (max) (max) (min) (max) (ns) (max) (ns) (max) Pins / Package SPST TS5A /SC70, SOT-23, WCSP TS5A /SC70, SOT-23, WCSP TS5A /SC70, SOT-23 TS5A /SC70, SOT-23 TS5A /SC70, SOT-23 TS5A /SC70, SOT-23 TS5A /SC70, SOT-23, WCSP SPST x 2 TS5A /US8, WCSP TS5A /US8, WCSP TS3A /MSOP TS5A /SM8, US8, WCSP SPST x 4 TS3A /TSSOP SPDT TS5A /WCSP TS5A /SC70 TS5A /US8, WCSP TS5A /US8, WCSP SPST x 4 TS3A /TSSOP SPDT TS5A /WCSP TS5A /SC70 TS5A /US8, WCSP TS5A /US8, WCSP TS5A3159A /SC70, SOT-23, WCSP TS5A /SC70, SOT-23 TS5A /SC70, SOT-23 TS5A /SC70, SOT-23, WCSP TS5A /SC70, SOT-23 TS5A /SM8, US8 SPDT x 2 TS5A /MSOP, QFN TS5A /MSOP TS5A (typ) 0.15 (typ) /MSOP, QFN SPDT x 4 TS3A /SOIC, SSOP (QSOP), TSSOP, TVSOP, QFN SP3T TS5A /US8 TS5A (typ) 0.1 (typ) /SM8, US8 SP4T x 2 TS3A /SOIC, SSOP (QSOP), TSSOP, TVSOP, QFN

68 66 Complementary Products for the TMS320 DSP Family Linear and Logic Products Design Considerations Supply voltages continue to migrate to lower nodes to support today s low-power, high-performance applications. While some devices are capable of running at lower supply nodes, others might not have this capability. To have switching capability between these devices, the output of each driver must be compliant with the input of the receiver that it is driving. Why use a voltage-level translator? Easily interface between subsystems operating with different supply voltages Provide migration paths from old architectures to new architectures For two devices to have switching capability: V OH of the driver must be greater than the V IH of the receiver V OL of the driver must be less than the V IL of the receiver The output voltage from the driver must not exceed the I/O voltage tolerance of the receiver V CCA* ASIC TI Level Translation Solution V CCB* I/O Devices *V CCA not equal to V CCB Typical situation in which a level translator is needed. Voltage-Level Translation Single-Supply Translators Device Bits Trans Low (V) Trans High (V) V CC (V) DIR Pins Bus Hold OE Pins t pd (max) (ns) SN74AUP1T No No No 6.2 SN74AUP1T No No No 6.2 SN74AUP1T No No No 6.2 SN74AUP1T No No No 6.2 Dual-Supply Translators Device Bits Trans Low (V) Trans High (V) V CCA (V) V CCB (V) DIR Pins Bus Hold OE Pins t pd (max) (ns) TXB to to 5.5 Auto No TXB to to 5.5 Auto No TXB to to 5.5 Auto No 1 4 TXB0104-Q to to 5.5 Auto No 1 7 TXB to to 5.5 Auto No 1 4 TXB to to 5.5 Auto No 1 4 TXS to to 5.5 Auto No TXS to to 5.5 Auto No TXS0104E to to 5.5 Auto No TXS0108E to to 5.5 Auto No SN74AVC1T to to No No 2.8 SN74AVC2T to to No No 2.4 SN74AVCH1T to to Yes No 2.8 SN74AVCH2T to to Yes No 2.4 SN74AVC2T to to No SN74AVC4T to to No SN74AVC8T to to No SN74AVC16T to to No SN74AVC20T to to No SN74AVC24T to to No SN74AVC32T to to No SN74AVCH4T to to Yes SN74AVCH8T to to Yes SN74AVCH16T to to Yes SN74AVCH20T to to Yes SN74AVCH24T to to Yes SN74AVCH32T to to Yes SN74AVC4T to to No SN74LVC1T to to No No 3.9 SN74LVC2T to to No No 3.9 SN74LVC8T to to No SN74LVCH8T to to Yes SN74LVC16T to to No SN74LVCH16T to to Yes 2 4.2

69 Complementary Products for the TMS320 DSP Family Linear and Logic Products 67 Low-Voltage I/O Expanders Selection Guide Max Bit or Additional Features I/O Type Frequency I 2 C V CC Range Channel Low Configuration 5-V-Tolerant Push- Open- Device (khz) Address (V) Width Power Interrupt Reset Registers I/O Pull Drain TCA6408A x 1.65 to bit TCA6416A x 1.65 to bit Preview products are listed in bold blue. I/O Expanders Selection Guide Max Bit or Additional Features I/O Type Frequency I 2 C V CC Range Channel Low Configuration 5-V-Tolerant Push- Open- Device (khz) Address (V) Width Power Interrupt Reset Registers I/O Pull Drain PCA to bit PCA xxx 2.3 to bit PCA xxx 2.3 to bit PCA9534A xxx 2.3 to bit PCA xx 2.3 to bit PCA9554A xxx 2.3 to bit PCA xxx 2.3 to bit PCA xxx 2.3 to bit PCA xxx 2.3 to bit PCA xx 2.3 to bit PCA xxx 2.3 to bit PCF xxx 2.5 to bit PCF8574A xxx 2.5 to bit PCF xxx 2.5 to bit PCF8575C xxx 4.5 to bit

70 68 Complementary Products for the TMS320 DSP Family Linear and Logic Products LED Driver Selection Guide Max Bit or Additional Features I/O Type Frequency I 2 C V CC Range Channel No. of Enable Dimming and Brightness 5-V Tolerant Push- Open- Device (khz) Address (V) Width PWMs Interrupt Pin Control Registers I/O Pull Drain TCA to channel 2 Bus Interface for TMS320 DSPs Supply t pd max Package Device Description Voltage (ns) (Number of Pins) SN74ALVC16244A 16-bit buffer/driver with 3-state outputs 3.3 V 3 TSSOP, SSOP(48) / VFBGA(56) SN74ALVCH bit buffer/driver with 3-state outputs 3.3 V 3 TSSOP, TVSOP, SSOP(48) / VFBGA(56) SN74ALVCH bit bus transceiver with 3-state outputs 3.3 V 3 TSSOP, TVSOP, SSOP(48) / VFBGA(56) SN74ALVCH bit transparent D-type latch with 3-state outputs 3.3 V 3.6 TSSOP, SSOP(48) / VFBGA(56) SN74ALVCH bit edge-triggered D-type flip-flop with 3-state outputs 3.3 V 4.2 TSSOP, SSOP(48) / VFBGA(56) SN74ALVC bit Universal Bus driver with 3-state outputs 3.3 V 3.6 TSSOP, TVSOP, SSOP, VFBGA(56) SN74ALVCH bit Universal Bus driver with 3-state outputs 3.3 V 3.6 TSSOP, TVSOP, SSOP, VFBGA(56) SN74ALVCH bit buffer/driver with 3-state outputs 3.3 V 4.2 TSSOP, SSOP(48) SN74ALVCH bit edge-triggered D-type flip-flop with 3-state outputs 3.3 V 4.6 TSSOP, SSOP(48) SN74ALVC bit Universal Bus driver with 3-state outputs 3.3 V 4.2 TSSOP, TVSOP, SSOP(56) SN74ALVCH bit Universal Bus driver with 3-state outputs 3.3 V 4.2 TSSOP, TVSOP, SSOP(56) SN74LVC16244A 16-bit buffer/driver with 3-state outputs 3.3 V 4.1 TSSOP, TVSOP, SSOP(48) / VFBGA(56) SN74LVCH16244A 16-bit buffer/driver with 3-state outputs 3.3 V 4.1 TSSOP, TVSOP, SSOP(48) / VFBGA(56) SN74LVC16245A 16-bit bus transceiver with 3-state outputs 3.3 V 4 TSSOP, TVSOP, SSOP(48) / VFBGA(56) SN74LVCH16245A 16-bit bus transceiver with 3-state outputs 3.3 V 4 TSSOP, TVSOP, SSOP(48) / VFBGA(56) SN74LVC16373A 16-bit transparent D-type latch with 3-state outputs 3.3 V 4.2 TSSOP, TVSOP, SSOP(48) / VFBGA(56) SN74LVCH16373A 16-bit transparent D-type latch with 3-state outputs 3.3 V 4.2 TSSOP, TVSOP, SSOP(48) / VFBGA(56) SN74LVC16374A 16-bit edge-triggered D-type flip-flop with 3-state outputs 3.3 V 4.5 TSSOP, TVSOP, SSOP(48) / VFBGA(56) SN74LVCH16374A 16-bit edge-triggered D-type flip-flop with 3-state outputs 3.3 V 4.5 TSSOP, TVSOP, SSOP(48) / VFBGA(56) Little Logic Ability to place a single gate in critical locations provides for simplified routing and board space savings Single gates also provide easy state change for control inputs The NanoStar package provides the industry s smallest logic package Little Logic for TMS320 DSPs Supply t pd max Package Device Description Voltage (ns) (Number of Pins) SN74AUC1G00 Single 2-input positive-nand gate 1.8 V 2.5 SOT, DSBGA (5) SN74AUC1G04 Single inverter gate 1.8 V 2.5 SOT, DSBGA (5) SN74AUC1G07 Single buffer/driver with open-drain output 1.8 V 2.5 SOT, DSBGA (5) SN74AUC1G08 Single 2-input positive-and gate 1.8 V 2.5 SOT, DSBGA (5) SN74AUC1G14 Single Schmitt-Trigger inverter 1.8 V 2.8 SOT, DSBGA (5) SN74AUC1G32 Single 2-input positive-or gate 1.8 V 2.5 SOT, DSBGA (5) SN74AUC1G79 Single positive-edge-triggered D-type flip-flop 1.8 V 1.9 SOT, DSBGA (5) SN74AUC1G125 Single bus buffer gate with 3-state output 1.8 V 2.5 SOT (5), DSBGA (5) SN74AUP1G08 Low-power single 2-input positive-and gate 3.3 V 4.2 SOT (5), DSBGA (5) SN74AUP1G57 Low-power configurable multiple-function gate 3.3 V 5.3 SOT (6), DSBGA (6) SN74AUP1G58 Low-power configurable multiple-function gate 3.3 V 5.3 SOT (6), DSBGA (6) SN74AUP1G97 Low-power configurable multiple-function gate 3.3 V 5.3 SOT (6), DSBGA (6) SN74AUP1G98 Low-power configurable multiple-function gate 3.3 V 5.3 SOT (6), DSBGA (6)

71 Complementary Products for the TMS320 DSP Family Data Converters 69 Data Converters Plug-In for Texas Instruments Code Composer Studio IDE TI s Data Converter Plug-In (DCP) is a free development tool that allows the creation of initialization data and configuration software for TI data converters from within the Integrated Development Environment (IDE) of Code Composer Studio. It provides easy-to-use windows for point-andclick data converter configuration from within the IDE, preventing illegal combinations of settings. The DCP dialog allows the user to select all the different settings for the data converter from a single screen and to automatically generate the interface software with a single mouse click. The generated well-documented C-source files contain all functions necessary to talk to the external data converter and to set up all of the registers internal to this device. The minimum function set includes read/write functions (single words and blocks of data), initialization functions and data structures and some device-specific functions like power down. The generated code is to a great extent hardware independent, so it can be used together with the analog evaluation modules (EVMs) from our modular EVM system, our DSP Starter Kits (DSKs) or with your own custom board. To download your free 3.5 version of the Data Converter Plug-In for Code Composer Studio IDE, please go to New devices are added to the tool on a regular basis. For More Information For additional information on TI data converters, visit Device Description C28x DSP C54x DSP C55x DSP C67x DSP C64x DSP ADCs ADS bit, 8-ch, 0.78kSPS, 5 V X X X ADS bit, 8-ch, 0.78kSPS, 3.3 V X X X ADS bit, 8-ch, 0.78kSPS, with flash X X X ADS bit, 4-ch, 15SPS X X ADS bit, 8-ch, 15SPS X X ADS bit, 1-ch (diff), 2kSPS X 1 X 1 X 1 ADS bit, 2-ch (diff), 2kSPS X 1 X 1 X 1 ADS bit, 4-ch (diff), 2kSPS X 1 X 1 X 1 ADS bit, 1-ch (diff), 20kSPS X X X ADS bit, 1-ch (diff), 40kSPS X X X ADS bit, 4-ch (diff), 20kSPS, V X X ADS bit, 4-ch (diff), 40kSPS, 5 V X X ADS bit, 8-ch, 30kSPS, very low noise X 1 X 1 X 1 ADS bit, 16-ch, 125kSPS, fast channel cycling X 1 X 1 X 1 ADS bit, 1-ch, 105kSPS X 1 ADS bit, 4-ch, 128kSPS, simultaneous sampling X 1 X 1 X 1 ADS bit, 8-ch, 128kSPS, simultaneous sampling X 1 X 1 X 1 ADS bit, 1-ch, 1.25MSPS X 1 X 1 X 1 ADS bit, 1-ch, 2.5MSPS X 1 X 1 X 1 ADS bit, 1-ch (diff), 5MSPS, 3.3-V I/O, 5-V analog X 1 X 1 X 1 ADS bit, 1-ch (diff), 5MSPS, 16-word FIFO X 1 X 1 X 1 ADS bit, 1-ch (diff), 10MSPS, 3.3-V I/O, 5-V analog X 1 X 1 X 1 ADS bit, 1-ch (diff), 1.25MSPS, 3.3-V I/O, 5-V analog X 1 X 1 X 1 ADS bit, 1-ch (diff), 1.25MSPS, 16-word FIFO X 1 X 1 X 1 ADS bit, 1-ch, 100kSPS, ±10-V input range X X 1 X 1 X 1 ADS bit, 1-ch, 100kSPS, ±10-V input range X X 1 X 1 X 1 ADS bit, 1-ch, 200kSPS X 1 X 1 X 1 ADS bit, 1-ch, 200kSPS X 1 X 1 X 1 ADS bit, 1-ch, 500kSPS X 1 X 1 X 1 ADS bit, 1-ch, 200kSPS X 1 X 1 X 1 ADS bit, 1-ch, 200kSPS X 1 X 1 X 1 ADS bit, 1-ch, 250kSPS X 1 X 1 X 1 ADS bit, 1-ch, 125kSPS, 2.7 V, micropower X X 1 X 1 X 1 ADS bit, 1-ch, 500kSPS X 1 X 1 X 1 ADS bit, 1-ch, 500kSPS X 1 X 1 X 1 ADS bit, 4-ch, 200kSPS X 1 X 1 X 1 X 1 ADS bit, 2+2-ch, 500kSPS, simultaneous sampling X X X X X ADS bit, 3 2-chs, 500kSPS, simultaneous sampling X 1 X 1 ADS bit, 1-ch, 4MSPS, int. reference X 1 X 1 X 1 ADS bit, 1-ch, 1MSPS X X 1 X 1 X 1 ADS bit, 1-ch, 3MSPS, int. reference X 1 X 1 X 1 ADS bit, 1-ch, 100kSPS, V X 1 X 1 X 1 ADS bit, 1-ch, 100kSPS, V X 1 X 1 X 1 ADS bit, 1-ch (diff), 500kSPS, 5 V X 1 X 1 X 1 ADS bit, 1-ch (diff), 500kSPS, 5 V X 1 X 1 X 1 ADS bit, 1-ch, 50kSPS, V X X 1 X 1 X 1 ADS bit, 1-ch, 100kSPS, V X X 1 X 1 X 1 ADS bit, 2-ch, 500kSPS X 1 X 1 X 1 ADS bit, 2-ch, 1MSPS X 1 X 1 X 1 ADS bit, 2+2-ch, 500kSPS, simultaneous sampling X X X X X ADS bit, 6-ch, 250kSPS X 1 X 1 X 1 ADS bit, 1-ch, 600kSPS, unipolar pseudo diff, int. ref. X 1 X 1 X 1 ADS bit, 1-ch, 750kSPS, unipolar input micro power X 1 X 1 X 1 1 Includes DMA support. New devices are listed in bold red. NOTE: An X indicates that the data converter plug-in generates the interface software for the specified TMS320 DSP generation, which not only configures the data converter, but also the DSP peripheral the device is connected to (e.g., the serial port or the memory interface). If no X is present, only the register settings, but no interface functions, are generated.

72 70 Complementary Products for the TMS320 DSP Family Data Converters Device Description C28x DSP C54x DSP C55x DSP C67x DSP C64x DSP ADCs (Continued) ADS bit, 1-ch (diff), 600kSPS, pseudo bipolar, int. ref. X 1 X 1 X 1 ADS bit, 1-ch, 600kSPS, unipolar pseudo diff, int. ref. X 1 X 1 X 1 ADS bit, 1-ch, 580kSPS X 1 X 1 X 1 ADS bit, 1-ch (diff), 600kSPS, pseudo bipolar, int. ref. X 1 X 1 X 1 ADS bit, 1-ch, 500kSPS X 1 X 1 X 1 ADS bit, 1-ch, 1.25MSPS, unipolar input X X 1 X 1 X 1 ADS bit, 1-ch, 1.25MSPS, bipolar input X X 1 X 1 X 1 ADS bit, 1-ch, 1.25MSPS, unipolar input X X 1 X 1 X 1 ADS bit, 1-ch, 1.25MSPS, bipolar input X X 1 X 1 X 1 ADS bit, 1-ch, 2MSPS, unipolar input X 1 X 1 X 1 ADS bit, 1-ch, 2MSPS, bipolar input X 1 X 1 X 1 ADS bit, 1-ch, 4MSPS, pseudo-bipolar, differential input X 1 X 1 X 1 ADS bit, 1-ch, 1MSPS, pseudo-bipolar, differential input X 1 X 1 X 1 ADS bit, 1-ch, 1MSPS, pseudo-differential, unipolar input X 1 X 1 X 1 ADS bit, 1-ch, 1MSPS, pseudo-biploar, fully differential input X 1 X 1 X 1 ADS bit, 1-ch, 250kSPS, ±10-V input range X X 1 X 1 X 1 ADS bit, 1-ch, 250kSPS, ±10-V input range X X 1 X 1 X 1 PCM bit, stereo, 192kHz, audio ADC X X X 1 X 1 PCM bit, stereo, 192kHz, audio ADC X X X 1 X 1 PCM bit, 4-ch, 216kHz, audio ADC X 1 THS bit, 4-ch, 6MSPS, 16-word FIFO X X X 1 X 1 X 1 THS bit, 4-ch, 8MSPS THS bit, 2-ch, 8MSPS, 16-word FIFO X X X 1 X 1 X 1 THS bit, 2-ch, 8MSPS THS bit, 4-ch, 6MSPS, 16-word FIFO X X X 1 X 1 X 1 THS bit, 4-ch, 8MSPS THS bit, 2-ch, 8MSPS, 16-word FIFO X X X 1 X 1 X 1 THS bit, 2-ch, 8MSPS THS bit, 1-ch, 1MSPS THS bit, 1-ch, 3MSPS THS bit, 1-ch, 8MSPS THS14F01 14-bit, 1-ch, 1MSPS, 32-word FIFO THS14F03 14-bit, 1-ch, 3MSPS, 32-word FIFO TLC bit, 4-ch, 400kSPS TLC bit, 8-ch, 400kSPS TLC bit, 1-ch, 400kSPS, 5 V X TLC bit, 2-ch, 175kSPS, 5 V X TLC bit, 4-ch, 400kSPS TLC bit, 1-ch, 175kSPS, 5 V X TLC bit, 8-ch, 400kSPS TLC bit, 4-ch, 200kSPS, 5 V X TLC bit, 8-ch, 200kSPS, 5 V X TLC bit, 1-ch, 200kSPS, 5V X X TLC bit, 4-ch, 200kSPS, 5 V X TLC bit, 1-ch (diff), 200kSPS, 5 V X X TLC bit, 8-ch, 200kSPS, 5 V X TLC bit, 4-ch, 200kSPS, 5 V X TLC bit, 8-ch, 200kSPS, 5 V X TLC bit, 1-ch, 200kSPS, 5 V X X TLC bit, 1-ch (diff), 200kSPS, 5 V X X TLV bit, 4-ch, 200kSPS X X TLV bit, 8-ch, 200kSPS X X TLV bit, 8-ch, 1.25MSPS X X 1 Includes DMA support. New devices are listed in bold red. NOTE: An X indicates that the data converter plug-in generates the interface software for the specified TMS320 DSP generation, which not only configures the data converter, but also the DSP peripheral the device is connected to (e.g., the serial port or the memory interface). If no X is present, only the register settings, but no interface functions, are generated.

73 Complementary Products for the TMS320 DSP Family Data Converters 71 Device Description C28x DSP C54x DSP C55x DSP C67x DSP C64x DSP ADCs (Continued) TLV bit, 1-ch, 1.25MSPS X X 1 TLV bit, 1-ch, 1.25MSPS, V X TLV bit, 8-ch, 1.25MSPS X X 1 TLV bit, 1-ch, 200kSPS, V X TLV bit, 2-ch, kSPS, V X TLV bit, 4-ch, 200kSPS X X 1 TLV bit, 1-ch, kSPS, V X TLV bit, 8-ch, 200kSPS X X 1 TLV bit, 11-ch, 200kSPS, V X X X X X TLV bit, 11-ch, 200kSPS, 2.7 5V, int. reference X X X X X 1 Includes DMA support. NOTE: An X indicates that the data converter plug-in generates the interface software for the specified TMS320 DSP generation, which not only configures the data converter, but also the DSP peripheral the device is connected to (e.g., the serial port or the memory interface). If no X is present, only the register settings, but no interface functions, are generated. Device Description C28x DSP C54x DSP C55x DSP C67x DSP C64x DSP DACs DAC bit, 1-ch, 2 ms DAC bit, 1-ch, 2 ms DAC bit, 1-ch, 10µs, V, int. reference DAC bit, 1-ch, 10µs, V DAC bit, 1-ch, 5µs, ultra-low glitch X X 1 X 1 X 1 DAC bit, 2-ch, 5µs, ultra-low glitch X X 1 X 1 X 1 DAC bit, 4-ch, 5µs, V X X 1 X 1 X 1 X 1 DAC bit, 1-ch, 10µs, V, MDAC X X X 1 X 1 X 1 DAC bit, 1-ch, 10µs, V X X X 1 X 1 X 1 DAC bit, 2-ch, 10µs, V X X X 1 X 1 X 1 DAC bit, 4-ch, 10µs, V X X X 1 X 1 X 1 DAC bit, 1-ch, 5µs, ultra-low glitch X X 1 X 1 X 1 DAC bit, 2-ch, 10µs, ultra-low glitch X X 1 X 1 X 1 DAC bit, 4-ch, 10µs, ultra-low glitch X X 1 X 1 X 1 DAC bit, 1-ch, 200kSPS X X X 1 X 1 X 1 DAC bit, 1-ch, 1µs X 1 X 1 X 1 DAC bit, 1-ch, 3MSPS, voltage output X X 1 X 1 X 1 DAC bit, 4-ch, 2MSPS X 1 X 1 X 1 TLC5618A 12-bit, 2-ch, 2.5µs, 5 V X X 2 TLV320DAC23 24-bit, stereo, 96kHz, audio DAC TLV bit, 4-ch, 3µs, V TLV bit, 1-ch, 3µs, V X X 2 TLV bit, 8-ch, 1µs, V TLV bit, 8-ch, 1µs, V TLV bit, 4-ch, 3µs, V TLV bit, 1-ch, 3µs, V X X 2 TLV5617A 10-bit, 2-ch, 2.5µs, V X X 2 TLV5618A 12-bit, 2-ch, 2.5µs, V X X 2 TLV bit, 1-ch, 3µs, V X X 2 TLV bit, 1-ch, 1µs, V, int. reference X X 2 TLV bit, 2-ch, 2.5µs, V X X 2 TLV bit, 2-ch, 1µs, V, int. reference X X 2 TLV bit, 8-ch, 1µs, V TLV bit, 8-ch, 1µs, V, int. reference TLV bit, 8-ch, 1µs, V, int. reference TLV bit, 8-ch, 1µs, V TLV bit, 1-ch, 1µs, V, int. reference X X 2 TLV bit, 2-ch, 1µs, V, int. reference X X 2 TLV bit, 2-ch, 1µs, V, int. reference X X 2 1 Includes DMA support. New devices are listed in bold red. 2 These DACs share the same driver. Result data may need to be masked.

74 72 Complementary Products for the TMS320 DSP Family Audio Converters and Controllers Audio ADCs No. of Dynamic Inputs/ Sampling Audio Power Portable Range No. of Rate Data Supply Device Description Focus (db) Outputs (khz) (max) Format (V) Package(s) Price 1 PCM channel, high-performance ADC 124 2/ Bit Modulator, +3.3 and +4 TQFP DSD, Normal, I 2 S, TDM PCM channel, high-performance ADC 123 2/0 216 Normal, I 2 S, TDM +3.3 and +4 TQFP PCM channel, high-performance ADC, PCM or DSD, high-pass filter 118 4/0 216 Normal, I 2 S, DSD, +3.3 and +5 TQFP TDM PCM4202 Stereo, high-performance ADC, PCM or DSD, high-pass filter 118 2/0 216 Normal, I 2 S, DSD +3.3 and +5 SSOP PCM4201 Mono, high-performance ADC, PCM or DSD, high-pass filter, 112 1/0 108 Normal, DSP +3.3 and +5 TSSOP wide digital supply range, low power dissipation PCM1804 Stereo ADC, fully differential, high-pass filter 112 2/0 192 Normal, I 2 S, DSD +3.3 and +5 SSOP PCM1802 Stereo ADC, SE input 105 2/0 96 Normal, I 2 S +3.3 and +5 SSOP PCM1803A Stereo ADC, SE input, high-pass filter 103 2/0 96 Normal, I 2 S +3.5 and +5 SSOP PCM1850/1 Stereo ADC w/ 2 6 input MUX and PGA, SPI (1850) and I 2 C (1851) control 101 2/0 96 Normal, I 2 S +3.3 and +5 TQFP PCM1807/8 Stereo ADC, SE input, mute w/ fade, SPI control, S/W (1807) H/W 101 2/0 96 I 2 S, L +3.5 and +5 TSSOP (1808) controlled PCM1870 Stereo ADC, SE input, digital filter, very low power consumption 90 2/0 50 Normal, I 2 S, DSP +2.4 and +3.6 QFN Audio DACs PCM1792A Stereo, optional DSD format, external filter and DSP interface, SPI/I 2 C, 132 0/2 192 Standard, +3.3 and +5 SSOP differential current output: 7.8 ma p-p I 2 S, L PCM1796/8 Stereo advanced segment, 123dB dynamic range, TDMCA serial 123 0/2 192 Standard, +3.5 and +5 SSOP interface (1798) I 2 S, L PCM channel, high-performance, sampling rate up to 216kHz, H/W 118 0/4 216 Normal, I 2 S, +3.3 and +5 TQFP or S/W controlled TDM PCM1738/30 Stereo advanced segment DAC, soft mute (1730), 2 optional 117 0/2 192 Normal, I 2 S, +3.3 and +5 SSOP / operation modes (1738): External filter and DSD decoder for DSD 5.00 SACD playback and digital attenuation PCM1791A Stereo advanced segment DAC, optional DSD format, external filter 113 0/2 192 Normal, I 2 S, +3.3 and +5 SSOP and DSP interface, SPI/I 2 C differential current output: 3.2 ma p-p TDMCA PCM1793 Stereo advanced segment DAC, balanced voltage outputs, improved 113 0/2 192 Normal, I 2 S, +3.3 and +5 SSOP clock jitter Left Justified DSD channel, enhanced multi-format DAC, supports DSD with TDMCA 108 0/8 192 Normal, I 2 S, +3.3 and +5 TQFP DSD PCM1780/81/82 Stereo with volume control, software (1780/82) and hardware (1781), 106 0/2 192 Normal, I 2 S +5 SSOP open-drain output zero flag (1782), improved jitter performance PCM1753/54/55 Stereo with volume control, software (1753/55) and hardware (1754), 106 0/2 192 Normal, I 2 S +5 SSOP open-drain output zero flag (1755) PCM channel, highly integrated DAC, higher SNR 105 0/8 192 Normal, I 2 S +3.3 and +5 LQFP PCM channel, low-cost CMOS, multi-level 103 0/6 192 Normal, I 2 S +5 SSOP PCM channel, low-cost DAC, improved jitter performance, pin compatible 103 0/8 192 Normal, I 2 S +5 SSOP with PCM1780 TLV320DAC23 I 2 C and SPI control with headphone amp, P diss = 23 mw 100 0/2 96 Normal, I 2 S, DSP +1.5 to +3.3 VFBGA PCM1770/1 Stereo with integrated headphone driver, software (1770) and hardware 98 0/2 48 Normal, I 2 S +1.6 to +3.6 TSSOP-28, 1.25 (1771) controlled QFN-28, TSSOP-16, QFN-20 PCM1772/3 Stereo with integrated line out, software (1772) and hardware (1773) 98 0/2 48 Normal, I 2 S +1.6 to +3.6 TSSOP-16, 1.25 controlled QFN-20 TLV320DAC26 Integrated PLL, SPI control, speaker/headphone amp, P diss = 11 mw 97 0/2 53 Normal, I 2 S, DSP +2.7 to +3.6 QFN TLV320DAC32 Low-power stereo DAC with PLL and stereo HP/speaker amplifiers 95 0/2 96 Normal, I 2 S, +2.7 to +3.6 QFN DSP, TDM 1 Suggested resale price in U.S. dollars in quantities of 1,000. For additional information on TI audio products, please visit

75 Complementary Products for the TMS320 DSP Family Audio Converters and Controllers 73 Audio Codecs Portable Dynamic Sampling Rate Audio Data Power Device Description Focus Range (db) (khz) (max) Format Supply (V) Package(s) Price 1 PCM3168 High-performance, 6 in/8 out audio codec Normal, I 2 S, 3.3 to 5 HTQFP-64 TBD DSP, TDM TLV320AIC34 Low-power quad stereo (4-channel) codec, 12 inputs (mic/line), Normal, +2.7 to 3.6 BGA outputs (line, headphone/speaker), 2 PLLs and audio serial I 2 S, DSP, TDM buses allow fully asynchronous simultaneous codec operation TLV320AIC3101 Low-power stereo codec, integrated PLL, 6 inputs (mic/line), Normal, +2.7 to 3.6 QFN outputs (line, headphone/speaker), notch filtering, low-power I 2 S, DSP, TDM analog bypass TLV320AIC3104 Low-power stereo codec, integrated PLL, 6 inputs (mic/line), Normal, +2.7 to 3.6 QFN outputs (line, headphone), notch filtering, low-power I 2 S, DSP, TDM analog bypass TLV320AIC3105 Low-power stereo codec, integrated PLL, 6 SE inputs (mic/line), Normal, +2.7 to 3.6 QFN outputs (line, headphone), notch filtering, low-power I 2 S, DSP, TDM analog bypass TLV320AIC3106 Low-power stereo codec, integrated PLL, 10 inputs (mic/line), Normal, +2.7 to 3.6 QFN-32, outputs (line, headphone), notch filtering, low-power I 2 S, DSP, TDM BGA-80 analog bypass TLV320AIC3107 Low-power stereo codec, integrated PLL, 10 inputs (mic/line), Normal, +2.7 to 3.6 QFN TBD 7 outputs (line, headphone, mono integrated Class-D amp) I 2 S, DSP, TDM TLV320AIC3108 Low-power stereo codec, integrated PLL, 10 inputs (mic/line), Normal, +2.7 to 3.6 QFN TBD 7 outputs (line, headphone, stereo integrated Class-D amp) I 2 S, DSP, TDM TLV320AIC33 Low-power stereo codec, integrated PLL, 6 inputs, Normal, +2.7 to 3.6 QFN-48, line out and speaker/hp outputs I 2 S, DSP, TDM BGA-80 TLV320AIC31/32 Low-power stereo codec, integrated PLL, 6 inputs Normal, +2.7 to 3.6 QFN (AIC32-6 single-ended, AIC31-2 differential and 2 single-ended) I 2 S, DSP, TDM 2 line out and speaker/hp outputs TLV320AIC23B Low-power, lower cost, stereo codec with headphone amps I 2 S, L, R +2.7 to 3.3 VFBGA-80, 3.00 TSSOP-28, QFN-28 TLV320AIC28/29 Low-power, stereo DAC, mono ADC, integrated PLL, speaker/hp Normal, +2.7 to 3.6 QFN /3.45 amp, additional inputs and outputs (AIC29 differential) I 2 S, DSP TLV320AIC26 Low-power, lower cost, stereo DAC, mono ADC, Normal, +2.7 to 3.6 QFN integrated PLL, speaker/hp amp I 2 S, DSP PCM3000 Stereo audio codec 18 bits, Normal, I 2 S, +4.5 to 5.5 SSOP serial interface, software controlled PCM3001 Stereo audio codec 18 bits, Normal, I 2 S, +4.5 to 5.5 SSOP serial interface, hardware controlled DSP PCM3006 Low-power, 3-V supply, stereo codec, hardware controlled Normal +2.7 to 3.6 SSOP PCM3008 Low-power, 2.4-V single supply, stereo codec, Normal, I 2 S +2.1 to 3.6 TSSOP low-cost, hardware controlled PCM3793A Ultra-low power stereo codec, 6 inputs (mic/line), 3 outputs Normal, I 2 S, +2.4 to 3.6 QFN (line/hp/class-d speaker) DSP PCM3794A Ultra-low power stereo codec, 6 inputs (mic/line), Normal, I 2 S, +2.4 to 3.6 QFN outputs (line/hp) DSP 1 Suggested resale price in U.S. dollars in quantities of 1,000. For additional information on TI audio products, please visit DSP Preview products are listed in bold blue. New products are listed in bold red.

76 74 Complementary Products for the TMS320 DSP Family Audio Converters and Controllers Voiceband Codecs Sample Number of SNR Analog Supply Logic Supply Power Supply Device Description Rate (khz) Input Channel(s) (db) Interface (V) (V) (mw) (typ) Package(s) Price 1 AIC111 Lowest power, 20 bit SPI, DSP 1.1 to to QFN-32, FlipChip 4.14 TLV320AIC12K Low power, mono codec, 16 bit, I 2 C, S 2 C, DSP 1.65 to 1.95/2.7 to to TSSOP ksps voiceband codec with 8driver TLV320AIC14K Low power, mono codec, 16 bit I 2 C, S 2 C, DSP 1.65 to 1.95/2.7 to to TSSOP ksps voiceband codec TLV320AIC20K Low power, stereo codec, 16 bit I 2 C, S 2 C, DSP 1.65 to 1.95/2.7 to to TQFP ksps voiceband codec with 8 driver TLV320AIC24K Low power, stereo codec, 16 bit I 2 C, S 2 C, DSP 1.65 to 1.95/2.7 to to TQFP ksps voiceband codec Audio Converters with Integrated Touch-Screen Controller Resolution Dynamic Sampling Rate Audio Data Power Device Description (Bits) (max) Range (db) (khz) (max) Configuration Format Supply (V) Package(s) Price 1 TSC Wire touch-screen interface, low power, lower cost, stereo DAC, Mono/Stereo Normal, +2.7 to 3.6 QFN-32, 3.95 mono ADC, integrated PLL, speaker/hp amp I 2 S, DSP TSSOP-32 TSC Wire touch-screen interface, low power, stereo DAC, mono ADC, integrated Mono/Stereo Normal, +2.7 to 3.6 QFN PLL, speaker/hp amp, additional inputs and outputs (TSC2111 differential) I 2 S, DSP TSC Wire touch-screen interface, low power, stereo DAC, Stereo Normal, +2.7 to 3.6 TSSOP integrated PLL, speaker/hp amp, low cost I 2 S, DSP TSC Wire touch-screen interface, low power, stereo DAC, Mono/Stereo Normal, I 2 S +2.7 to 3.6 TQFP mono ADC, integrated PLL TSC Wire touch-screen interface, low power, stereo DAC, Stereo/Stereo Normal, +2.7 to 3.6 TQFP-64, 4.95 stereo ADC, integrated PLL, HP amp, 4 4 keypad interface I 2 S BGA-120 TSC Wire touch-screen interface, low power, stereo DAC, Stereo/Stereo Normal, +2.7 to 3.6 QFN stereo ADC, integrated PLL, HP amp I 2 S 1 Suggested resale price in U.S. dollars in quantities of 1,000. For additional information on TI audio products, please visit

77 Complementary Products for the TMS320 DSP Family Interface Products 75 TI provides a complete interface products portfolio that empowers customers to differentiate their products and accelerate time to market. TI s hardware and software portfolios include 1394a and 1394b, USB fullspeed and USB 2.0 high-speed, PCIto-PCI bridges and PCI Express and interfaces. Our expertise in highspeed, mixed-signal circuits, systemon-a-chip integration and advanced product development ensure that you receive the silicon, support tools, software and technical documentation to create and deliver the best products on time and at competitive prices. TI s Interface Business Unit (IBU) leverages these technologies and outstanding customer application support to serve the broad-based catalog market. Enabling Faster Time to Market Industry leading, high performance Proven system-level reference designs Available development and evaluation kits Providing System-Level Solutions Extensive industry compatibility and validation testing Production test, driver and application software Detailed technical applications documentation Visit for additional information. XIO2000A PCI Express Bridge Chip TI s PCI Express Bridge Chip, the XIO2000A, is an industry first. It is designed for seamless migration from the legacy PCI to the PCI Express interface. It bridges a 1 PCI Express bus to a 32-bit, 33-/66-MHz PCI bus capable of supporting up to six PCI devices downstream. The XIO2000A fully supports PCI Express rates of 2.5 Gbps. Its architecture supports the PCI 2.3 interface. The chip s design enables PC and I/O add-on card manufacturers to begin transitioning to native PCI Express technology while preserving compatibility with existing PCI system software and firmware. XIO Lane, 4-Port PCIe Switch TI s XIO3130 is an integrated PCIe fan-out switch solution with one upstream 1 port and three downstream 1 ports. This high-performance, integrated solution provides the latest in PCIe switch technology. It features cut-through architecture and integrated reference clock buffers for downstream ports. The XIO3130 is fullycompliant with the PCI Express Base Specification Rev It supports Advanced Error Reporting as defined in the PCIe base specifications and is backwards compatible with the PCI Local Bus Specification, Rev Key Features PCIe fan-out switch with 1 upstream port and three 1 downstream ports Fully compliant with PCIe Base Specification, Rev. 1.1 Key Benefits Lowest power solution available today 323 mw with two PCI cards at 33 MHz In mass production for over two years Proven capability and interoperability with leading PCIe chipsets and plethora of PCI devices Built-in adaptive receiver equalizer Improves jitter tolerance thereby reliably increasing PCB trace, or cable length, supported by the XIO2000 Seven buffered PCI clock outputs (33 MHz or 66 MHz) Reduces external components, costs and premium board space Cut-through architecture Built-in adaptive equalizer in each of the four ports Wake-event and beacon support Support for D1, D2, D3 hot, and D3 cold Active State Power Management (ASPM) Uses both L0s and L1 Low-power PCIe transmitter mode (pre-emphasis disabled) Integrated AUX power switch drains VAUX power only when main power is off Integrated hot-plug support Integrated REFCLK buffers for switch downstream ports Advanced error reporting to assist with system debug tools 3.3-V multi-function I/O pins (e.g., for hot-plug status-and-control, or generalpurpose I/Os) Listed in PCI-SIG compliance list TUSB3410 USB-to-Serial Bridge The TUSB3410 provides an easy way to move a serial-based legacy device to a fast, flexible USB interface by bridging between a USB port and an enhanced UART serial port. The TUSB3410 contains all the necessary logic to communicate with the host computer using the USB bus. Key Features USB full-speed-compliant: data rate of 12 Mbps 8052 microcontroller with 16 KBytes of RAM that can be loaded from the host or from external on-board memory via an I 2 C bus Integrated, enhanced UART features including: Programmable software/hardware flow control Automatic RS-485 bus transceiver control, with and without echo Software-selectable baud rate from 50 to kbaud Built-in, two-channel DMA controller for USB/UART bulk I/O Evaluation module to jump-start USB development or for use as a complete USB-to-RS-232 converter

78 76 Complementary Products for the TMS320 DSP Family Interface Products USB TUSB60xx Family of USB High-Speed OTG Devices The TUSB60xx family of USB high-speed OTG devices enable application processors (DSPs and MCUs) that do not have integrated USB cores to function as either: 1. an USB 2.0 high-speed peripheral Voltage Local Bus Device Speed (V) Package Interface Description Price USB On-The-Go (OTG) Devices TUSB6010B High 1.5, 1.8 & MicroStar BGA 16-Bit Muxed NOR USB 2.0 high-speed On-The-Go to local bus interface controller Call TUSB6020 High 1.5, 1.8 & MicroStar BGA VLYNQ USB 2.0 high-speed On-The-Go local bus interface bridge controller Call Voltage Singled-Ended Device Speed (V) Package Input Description Price USB Transceivers TUSB1105 Full, Low 1.6, RTZ, 16 RGT Yes USB transceivers Call TUSB1106 Full, Low 1.6, RTZ, 16 PW No USB transceivers Call TUSB2551 Full, Low 1.6, PW, 16 RGT No USB transceivers Call 1 Suggested resale price in U.S. dollars in quantities of 100. Preview devices appear in bold blue. USB Port Protection Transient voltage suppressor protects USB 2.0 full-speed and high-speed devices from ESD and electrical noise transients. Device # # of Channels Application IO Capacitance VBR (Min) Package Price USB Transient Suppressors TPD2E001 Dual-Bit/ Single Channel USB HS, USB FS 1.5pF 11 V DRL, DRY, DZD, DRS web TPD3E001 Three-Bit/Single-Channel USB OTG ESD USB HS OTG, USB FS OTG 1.5pF 11 V DRL, DRY, DRS web TPD4E001 Four-Bit/Two Channel USB HS, USB FS 1.5pF 11 V DRL, DRS web TPD4S012 Four-Bit/Two-Channel ESD with VBUS Clamp USB HS with VBUS Clamp 0.9pF 7 V DRY web TPD4E004 Four-Bit/Two Channel USB HS, USB FS 1.6pF 6 V DRY web SN65220, SN75220 Dual-Bit/Single Channel USB FS 35pF 7 V DBV, YZP web SN65240 Four-Bit/Two Channel USB FS 35pF 7 V PW, P web Resources For a complete list of resources (evaluation modules, data sheets and application notes), visit interface.ti.com Literature Number Description Application Notes SLLU043 TUSB3410 UART Evaluation Board SLLA170B USB/Serial Applications Using TUSB3410/5052 and the VCP Software SLLAA276 MSP430 USB Connectivity Using TUSB an embedded USB 2.0 high-speed host controller 3. a full USB 2.0 high-speed OTG device The TUSB6020 enables functionality on VLYNQ -enabled application processors, such as DaVinci TMS320DM643x and TMS320C642x DSPs. The TUSB6010B bridges to a 16-bit MUXED-NORFLASH host interface such as the OMAP2420, OMAP1710 or OMAP3430 processor. Voltage Device Speed Ports I 2 C (V) Package Description Price 1 USB Hub Controllers TUSB2036 Full (1.1) 2/3 No LQFP 2/3-port hub for USB with optional serial EEPROM interface 1.45 TUSB2046B Full (1.1) 4 No LQFP 4-port hub for USB with optional serial EEPROM interface 1.50 TUSB2077A Full (1.1) 7 No LQFP 7-port USB hub with optional serial EEPROM interface 2.45 TUSB2136 Full (1.1) 1/2 Yes LQFP 2-port hub with integrated general-purpose function controller 4.10 Voltage Remote Device Speed (V) Wakeup Package Description Price 1 USB Peripherals TUSB3210 Full 3.3 Yes 64 LQFP USB full-speed general-purpose device controller 3.15 TUSB3410 Full 3.3 Yes 32 LQFP USB-to-serial converter (RS-232, RS-485) 2.85 TUSB6250 High 3.3 Yes 80 TQFP USB 2.0 high-speed, low-power ATA/ATAPI bridge solution 3.50 TUSB6015 High 1.5, 1.8 & 3.3 Yes 80 MicroStar BGA USB 2.0 high-speed to muxed NOR Flash bridge controller 5.00

79 Complementary Products for the TMS320 DSP Family Interface Products 77 System-Level ESD Protection Devices Space-saving, low-capacitance solutions System-level ESD strikes are a constant threat to device reliability and functionality. Many low-voltage core chips only offer device-level, human-body model (HBM) ESD protection, which doesn t address the system-level risks. TI s TPD family of stand-alone ESD devices provides spacesaving and cost-effective solutions to protect the system interconnects from external ESD strikes. For multiple external interfaces including video, audio and serial data transfer, the TPD family offers IEC level ESD protection. Features and benefits: Low I/O capacitance ideal for highspeed applications Space-saving package solutions help reduce board footprint Operating voltage range flexibility for low-power applications End equipments Mobile handset STB Protected Circuit Television Game console External HD Digital camera SIM cards Notebook PDA Consumer medical I/O TPDxE001 I/O TPDxE001 application schematics ESD Protection Solutions Device # # of Channels Supply Voltage (V DD ) I/O Level (V) I/O Capacitance (pf) VBR (Min) (V) Package Application TPD2E V DD SOT-5, SON-6 USB 2.0, RS-232 / RS-485 TPD3E V DD SOT-5, SON-6 USB OTG TPD4E V DD SOT-6, SON-6 USB 2.0, Ethernet, Firewire, e-sata, RS-232 / RS-485 TPD6E V DD QFN-10, QFN-12 USB 2.0, Ethernet, Firewire, e-sata, RS-232 / RS-485 TPD4E V DD SOT-6, SON-6 USB 2.0, Ethernet, Firewire, e-sata TPD6E V DD QFN-8 USB 2.0, Ethernet, Firewire, e-sata TPD4S V DD SOT23-6, SC70-6, e-sata, LVDS signaling SON-6 TPD8S V DD SON-15 HDMI, display port TPD12S V DD TSSOP-38 HDMI receiver port TPD12S V DD TSSOP-38 HDMI transmit port PCI Bridge Solutions Intel-Compatible Speed Expansion MicroStar BGA Voltage Device Part No. (MHz) Interface (bits) Hot Swap Packaging (V) Package(s) Description Price 1 PCI Bridges PCI2050B 21150bc Yes Yes 3.3, LQFP, 32-Bit, 66-MHz, 9-master PCI-to-PCI bridge QFP, 257 BGA PCI ab Friendly No 3.3, LQFP, 160 QFP 32-Bit, 33-MHz PCI-to-PCI bridge, compact 6.10 PCI hot-swap friendly, 4-master PCI Yes Yes 3.3, BGA 32-Bit, 66-MHz, 9-master, 9.50 asynchronous PCI-to-PCI bridge 1 Suggested resale price in U.S. dollars in quantities of 1,000.

80 78 Complementary Products for the TMS320 DSP Family Interface Products PCI Express Solutions Supply Parallel Bus Speed (max) MicroStar BGA Device Voltage (V) PCIe Width (Gbps) Packaging Package Description Price* PCI Express PHY XIO , 1.8, , Yes 100 BGA 1 PCI Express PHY, compliant with the 7.00 PCI Express Base Specification Revision 1.1 Supply Parallel Bus Wake/Beacon MicroStar BGA Device Voltage (V) PCIe Masters Support Packaging Package Description Price 1 PCI Express Bridge XIO2000A 3.3, Yes Yes 175 BGA, 201 BGA 1 PCI Express-to-PCI bus translation bridge Supply Speed (max) MicroStar BGA Device Voltage (V) PCIe FIFO (KB) (Mbps) Packaging Package Description Price 1 PCI Express Endpoints XIO2200A 3.3, Yes 175 BGA, 176 BGA PCI Express-to-PCI bus translation bridge 7.75 with 1394a OHCI and two ports XIO2213A 3.3, 1.95, No 167 BGA PCI Express-to-1394b OHCI with three-port PHY 8.70 Supply Downstream Wake/Beacon MicroStar BGA Device Voltage (V) PCIe PCI Ports Support Packaging Package Description Price 1 PCI Express Packet Switch XIO , Yes Yes 196 BGA 1 PCI Express 4-port fanout packet switch Call No. of No. of Supply Voltage r ON (typ) t pd MicroStar BGA Device Inputs Outputs Range (V) () (typ) (ns) Packaging Package Description Price 1 PCI Express Signal Switches* TS2PCIE No 48 BGA 2-channel PCIe 2:1 multiplexer/demultiplexer 1.61 passive FET switch TS2PCIE No 42 QFN 4-channel PCIe 2:1 multiplexer/demultiplexer Preview passive FET switch 1 Suggested resale price in U.S. dollars in quantities of 1,000. Preview products are listed in bold blue. *For additional TI switches, visit

81 TMS320C2000 Microcontrollers for Real-Time Control Silicon 79 TMS320C2000 Microcontrollers, Fixed Point and Floating Point MCU Control. 32-Bit Performance Get samples, data sheets, tools and app reports at: Specifications 32-bit C28x MCU core with floating-point option Industry s first floating-point MCUs Highest performance MCUs at 300 MHz and 600 MFLOPS Only processors with full software compatibility between fixed-point and floating-point Full software compatibility across all C28x platform controllers All C28x controllers are AEC Q-100 qualified for automotive applications Applications Green energy (solar, wind, fuel cells), digital motor control (home appliances, industrial drives, medical), digital power supplies (telecom and server rectifiers, wireless basestations, UPS), automotive (electric power steering, driver s assistance radar, wipers, HVAC) Features Industry s most efficient C compiler for 32-bit controllers Up to 300-MHz operation Single-precision 32-bit floating-point unit on F283xx generation Ultra-fast interrupt response time Integrated real-time debug simplifies control system development Single-cycle bit multiplyaccumulate 32-bit and 16-bit instructions optimize performance and code efficiency Peripherals Up to 512-KB Flash and 68-KB RAM Code security module with 128-bit password protection Ultra-fast 12-bit A/D converter with 80-ns conversion time High-resolution PWM allows dutycycle modulation with down to 65-picosecond accuracy Flexible PWM generation allows easy generation of any switching waveform Quadrature encoder interfaces for easy motor feedback Multiple communications interfaces Performance Device Production Sampling In Development Future F281x 150 MIPS KB Flash 12.5 MSPS ADC QEP F281x F282x 150 MIPS KB Flash 16-ch, 12-bit ADC HiRes PWM, QEP F280x F282x F280x MIPS KB Flash 16-ch, 12-bit ADC HiRes PWM, QEP Delfino Floating-Point Series F2833x C2834x 150 MIPS/300 MFLOPS 300 MIPS/600 MFLOPS KB Flash KB SRAM 16-ch, 12-bit ADC HiRes PWM, QEP Next HiRes PWM, QEP Generation F2833x F2802x F2802x MIPS KB Flash 13-ch, 12-bit ADC HiRes PWM Single 3.3-V supply C2834x Piccolo Series F2803x F2803x 60 MIPS + CLA KB Flash 16-ch, 12-bit ADC HiRes PWM, QEP Single 3.3-V supply Code-compatible solutions scaling from 40 MHz to 300 MHz Next Generation TMS320C2000 Microcontroller Platform Roadmap The C2000 controller platform provides an optimized combination of DSP performance and MCU integration for digital control systems. The C2000 microcontroller platform provides an optimized combination of 32-bit performance and integration for digital control systems. Not all devices contain all modules shown.

82 80 TMS320C2000 Microcontrollers for Real-Time Control Silicon TMS320C2000 Microcontrollers Control Interfaces 12-Bit A/D Communications Ports Processor Memory Quadra- Chan/ External Core On-Chip Speed RAM Flash ROM PWM HiRes ture Event Conversion Compa- UART/ Memory Supply GPIO Osc Pins/ 1-KU Device (MHz) DMA CLA (KB) (KB) (KB) Chan PWM Encoder Captures Timers 2 Time (ns) rators McBSP I 2 C SCI SPI Lin CAN Bus Volt(s) Pins Regulator Packaging Price 1 TMS320F2803x Piccolo MCUs TMS320F2803x 60 No/ Boot / Yes/Yes 56 QFN, 64 TQFP, TBD Yes LQFP, 80 QFP P-Pad TMS320F2802x Piccolo MCUs TMS320F Boot / Yes/Yes 38 TSSOP, 48 LQFP 2.85 TMS320F Boot / Yes/Yes 38 TSSOP, 48 LQFP 2.65 TMS320F Boot / Yes/Yes 38 TSSOP, 48 LQFP 2.45 TMS320F Boot / Yes/Yes 38 TSSOP, 48 LQFP 2.25 TMS320F Boot / Yes/Yes 38 TSSOP, 48 LQFP 2.20 TMS320F Boot / Yes/Yes 38 TSSOP, 48 LQFP 1.99 TMS320C2834x Delfino Floating-Point Microcontrollers TMS320C Yes 516 Boot /32 bit GBA TMS320C Yes 516 Boot /32 bit GBA, 179 BGA TMS320C Yes 260 Boot /32 bit GBA TMS320C Yes 260 Boot /32 bit GBA, 179 BGA TMS320C Yes 196 Boot /32 bit GBA TMS320C Yes 196 Boot /32 bit GBA, 179 BGA 8.95 TMS320F2833x Delfino Floating-Point Microcontrollers TMS320F Yes Boot / /32 bit BGA, 176 LQFP TMS320F Yes Boot / /32 bit BGA, 176 LQFP TMS320F Yes Boot / /32 bit BGA, 176 LQFP TMS320F28x Fixed-Point MCUs TMS320F Yes Boot / /32 bit BGA, 176 LQFP TMS320F Yes Boot / /32 bit BGA, 176 LQFP TMS320F Yes Boot / /32 bit BGA, 176 LQFP TMS320F Boot / bit BGA, 176 LQFP TMS320F Boot / LQFP TMS320F Boot / LQFP TMS320F Boot / BGA, 100 LQFP TMS320F Boot / BGA, 100 LQFP TMS320F Boot / BGA, 100 LQFP 8.70 TMS320F Boot / LQFP 9.95 TMS320F Boot / BGA, 100 LQFP 7.10 TMS320F Boot / BGA, 100 LQFP 5.80 TMS320F Boot / LQFP 4.75 TMS320F Boot / LQFP 3.95 TMS320F Boot / LQFP 3.50 TMS320F Boot / BGA, 100 LQFP 3.25 TMS320C28x ROM MCUs 3 TMS320C / bit BGA, 176 LQFP TMS320C / LQFP TMS320C / LQFP TMS320C / BGA, 100 LQFP TMS320C / BGA, 100 LQFP 1 Prices are quoted in U.S. dollars and represent 2009 suggested resale pricing. All prices are subject to change. 2 Timers include CPU timers, PWM timers, ecap timers and watchdog timers. 3 Minimum quantity order for all ROM devices is 10K units, NRE charge is $11,000 for C28x controllers. Note: All TMS320C28x devices in LQFP packages are available as AEC Q100 qualified. Note: All devices available in Pb-Free/Green packaging.

83 TMS320C2000 Microcontrollers for Real-Time Control Silicon 81 TMS320C2000 New Technology Better Integration. Easier Development To learn more about Piccolo technology, visit: To learn more about Delfino technology, visit: Key Piccolo Features Up to 60-MHz, 32-bit TMS320C28x CPU 32-kB to 128-kB embedded Flash 12-bit ADC, up to 4.6 MSPS 150-ps high-resolution PWM Control law accelerator (CLA) Package options starting at 38-pin TSSOP Key Delfino Features Up to 300-MHz C28x core 32-bit floating-point precision Up to 65-ps resolution PWM modules Multiple capture and 32-bit QEP modules Direct Memory Access (DMA) controller External memory interface Code compatibility across TMS320C2000 controller platform C2000 Piccolo Family The new TMS320F2802x/TMS320F2803x Piccolo family of C2000 MCUs provides unparalleled performance with increased integration to help drive processorintensive real-time control into costsensitive applications. Initial F2802x/ F2803x devices will include 40 to 60 MHz variations, up to 128 kb of Flash memory, high-speed 12-bit ADC, high-resolution enhanced PWMs, along with a host of other modules such as high-precision on-chip oscillators, analog comparators, communication interfaces and generalpurpose I/O. Available in multiple package options starting at 38 pins, the Piccolo family is the ultimate combination of performance, integration and size. In select F2803x devices, the CLA brings the ability to run floating-point based control loops independent of the CPU. Delfino: Floating-Point Development at Your Finger Tips With Delfino, TI offers two flavors of floatingpoint MCUs with unparalleled performance. F2833x devices operate at 150 MHz with 300 MFLOPS of performance. The F283xx MCUs offer a 50 percent performance boost, on average, over current C2000 MCUs while operating at the same 150-MHz clock rate. Built on the standard C28x architecture, they are 100 percent software compatible with all current F28xx MCUs. The new C2834x Delfino MCUs push the limits even further, offering 600 MFLOPS of performance. The C2834x platform allows up to 52 percent code reduction and 70 percent reduction in memory access time over current C2000 MCUs. New speeds enable greater intelligence and efficiency in high-end real-time control applications.

84 82 TMS320C2000 Microcontrollers for Real-Time Control Silicon The Floating-Point Advantage The Delfino TMS320F2833x series features an integrated hardware floating-point unit. These floating-point MCUs, operating at 150 MHz, provide 300 MFLOPS of performance while maintaining pin compatibility with their fixed-point counterparts, the F2823x series. The F2833x floatingpoint controllers offer a 50 percent performance boost, on average, over other C2000 controllers operating at the same 150-MHz clock rate. The Delfino TMS320C2834x series adds even more performance to the C2000 platform by providing up to 600 MFLOPS at 300 MHz. Built on the standard C28x core architecture, both Delfino series are 100 percent software compatible with all current TMS320F28xx controllers. F28x F283xx Math Functions (2.45 Improvement) F28x F283xx Control Algorithms (1.57 Improvement) F28x F283xx DSP Performance (1.38 Improvement) F2833x floating-point devices boost performance by an average of 50 percent. C2834x devices add even more processing power. IQMath Provides a Bridge Between Floating Point and Fixed Point Control algorithms typically start life in a floating-point format, often created with PC-based simulation tools, such as MATLAB. With the availability of the TMS320F283xx floating-point microcontrollers, it is easy to port that floating-point code to the embedded controller. TI s IQMath library provides seamless portability from the F283xx MCUs to fixed-point members of the C28x generation. The TMS320F282xx fixed-point MCUs are pinto-pin compatible with the F283xx MCUs. These microcontrollers offer the first set of processors that provide both hardware and software compatibility between floating point and fixed point. For more information, visit Slash development time and reduce overall costs with TI s IQMath: floating-point development on a fixed-point machine. Auto-Code Generation is Made Simpler F28x Fixed- Point Controllers Speedy Algorithm Porting Simulation Platform (i.e., MATLAB ) IQMath Algorithm (C or C++) One Source Set Fits All F283xx Floating-Point Controllers TI s C28x IQMath Library makes code development easier, faster and enables seamless portability of code between fixed- and floating-point devices. C2000 Microcontroller Literature and Related Technical Documentation All documentation and associated literature, user s guides, application notes and software can be found by clicking on the specific device in the parametric table found on the URL below. TMS320C2000 Microcontrollers

85 TMS320C2000 Microcontrollers for Real-Time Control Tools 83 TMS320C2000 New Technology Tools Better Integration. Easier Development To order and learn more about the new C2000 tools, visit: Key Tool Features Stand-alone daughter card for rapid prototyping Full hardware documentation [including schematics, Gerbers and bill of material (BOM)] Fully documented open source example software Full version of Code Composer Studio Integrated Development Environment, with 32-KB size limit, included Low-cost development kits starting at just U.S. $89 C2000 Development Tools The C2000 controlcards are the latest tools for C2000 MCUs and feature a C2000 processor and all necessary support devices on one daughter card, requiring only one 5-V supply to be fully functional. The daughter card plugs into a simple motherboard connector that gives access to every pin on the device. Using a controlcard, custom prototyping boards can easily be developed and inexpensively manufactured. TI offers several C2000 development kits based on the controlcard concept, including two DC/DC power kits and an AC/DC power conversion kit. DC/DC Developer s Kit AC/DC Developer s Kit Digital Power Experimenter s Kit C2000 Experimenter Kits controlcards C2000 controlcard-based tools The C2000 controlcards are the latest tools for TMS320C2000 MCUs. By detaching the C2000 processor and all necessary support devices and putting them on controlcards, a designer can test multiple processors on one board. Separating the MCU from the base also decreases replacement costs should accidents happen. These controlcards require only one 5-V supply and plug into a simple motherboard connector that gives access to every pin on the device. Currently available with five microcontrollers and seven base boards, select your kits today and start exploring what the C2000 MCU has to offer, right out of the box. Visit for more information. Piccolo controlstick U.S. $39 A low-cost USB-based tool that provides instant access to peripherals and pins comes with the Piccolo line of devices. Start developing for just U.S. $39. Everything you need to work with the 48-pin Piccolo TMS320F28027 Access to all Piccolo control peripherals through header pins On-board USB JTAG emulation (no external emulator required) Numerous sample labs to get you started immediately Full software and hardware documentation

86 84 TMS320C2000 Microcontrollers for Real-Time Control Tools/Software C2000 Microcontroller Platform Hardware and Software Development Tools Name Device Part # Description $U.S. 1 controlstick controlstick 2 F28027 (Piccolo ) TMDX28027USB controlstick USB evaluation tool 39 controlcards DIM100 controlcards F28027 (Piccolo) TMDXCNCD28027 F28027 controlcard 49 F28035 (Piccolo) TMDSCNCD28035 F28035 controlcard 59 F28044 TMDSCNCD28044 F28044 controlcard 59 F2808 TMDSCNCD2808 F2808 controlcard 59 F28335 (Delfino ) TMDSCNCD28335 F28335 controlcard 69 C28343 (Delfino) TMDXCNDC28343 C28343 controlcard 109 DIM168 controlcards C28346 (Delfino) TMDXCNDC C pin controlcard 125 Starter Kits Experimenter s Kits 2 F28027 (Piccolo) TMDXDOCK28027 F28027 Experimenter s Kit. Includes power supply, Code Composer Studio 79 (with on-board emulation) (CCStudio) IDE, USB cable, controlcard and docking station F2808 TMDSDOCK2808 F2808 Experimenter s Kit. Includes power supply, CCStudio IDE, controlcard 89 and docking station F28335 (Delfino) TMDSDOCK28335 F28335 Experimenter s Kit. Includes power supply, CCStudio IDE, controlcard 99 and docking station Experimenter s Kits 2 C28343 (Delfino) TMDXDOCK28343 C28343 Experimenter s Kit. Includes power supply, CCStudio IDE, 159 (external emulator required) DIM100 controlcard and docking station C28346 (Delfino) TMDXDOCK C28346 Experimenter s Kit. Includes power supply, CCStudio IDE, 189 DIM168 controlcard and docking station Peripheral Explorer Kit F28335 (Delfino) TMDSPREX28 F28335 Peripheral Explorer Kit. Includes power supply, CCStudio IDE, 179 controlcard and peripheral explorer baseboard Digital Power Experimenter s Kit 2 F2808 TMDSDCDC2KIT Two independent channels digital power board with F2808 controlcard* 229 Digital Power Developer s Kit 2 F28044 TMDSDCDC8KIT Eight independent channels digital power board with F28044 controlcard* 325 AC/DC Developer s Kit 2 F2808 TMDSACDCKIT Phase-shifted full bridge with power factor correction digitally controlled AC/DC 695 conversion board with F2808 controlcard* Resonant DC/DC Developer s Kit 2 F2808 TMDSRESDCKIT Single-transformer LLC resonant DC/DC EVM with F2808 controlcard. 229 This kit does NOT require an external JTAG emulator. Renewable Energy Developer s Kit 2 F2808 TMDSENRGYKIT F2808 controlcard-based DC/AC inverter EVM with battery charging 349 and management* Motor Control and PFC Developer s Kit F28035 (Piccolo) TMDS1MTRPFCKIT PFC plus dual-motor driver EVM with isolated USB JTAG emulator, includes 1 motor 369 Dual Motor Control and PFC Developer s Kit F28035 (Piccolo) TMDS2MTRPFCKIT PFC plus dual-motor driver EVM with isolated USB JTAG emulator, includes 2 motors 399 Description Part # $U.S. 1 JTAG Emulators JTAGjet-TMS-C2000 USB Emulator for Windows Blackhawk USB2000 Controller TMDSEMU200U 299 XDS510 USB-Based Emulator TMDSEMUUSB 1,995 Spectrum Digital XDS510LC USB JTAG Emulator Software Development Tools C2000 DSP Code Composer Studio Development Tools Bundled with Annual Software Subscription TMDSCCS Supports TMS320C24x and TMS320C28x MCU products Code Composer Studio Platinum Edition Development Tools bundled with Annual Software Subscription TMDSCCSALL-1 3,595 Supports C6000, C5000, C2000, DaVinci and OMAP processor platforms C2000 MCU Code Composer Studio Development Tools Annual Software Subscription TMDSSUB Code Composer Studio IDE Free Evaluation Tools SPRC119 Free Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools 3 TMS320C2000 Digital Motor Control Software Free TMS320C2000 Digital Power Software Free TMS320C2000 Signal Processing Libraries Free 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the New tools are listed in red. most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Includes 32-KB limited Code Composer Studio IDE. 3 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker), emulator and simulator configurations all limited to 120 days. Alternative Development Tools are available from third parties such as EWA Blackhawk DSP ( Spectrum Digital ( Technosoft ( and Softronics ( *External emulator required. Please see the tools features matrix on page 59 for more details.

87 TMS320C2000 Microcontrollers for Real-Time Control Complementary Power Management Products 85 Power Management Products for the C2000 Microcontroller Platform Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com Suggested Texas Instruments Power Management Solutions for TMS320C2000* Microcontrollers Core and I/O Voltages Synchronous Non-Synchronous Synchronous Non-Synchronous Non-Isolated Isolated Dual- Input Controller Controller Integrated FET Integrated FET Power Power Output Multiple-Output Voltage LDO (External FETs) (External FET and Diode) Converter Converter Module Module Controller Converter 3.3 V TPS73701 TPS40041 TPS64200 TPS54110 MC33063 PTH04070 TPS V TPS73701 TPS40042 TPS40200 TPS54110 TPS40222 PTH04070 TPS75003 TPS V TLV1117 TPS40190 TPS40200 TPS62110 TPS5410 PTH08080 TPS5120 TPS V LM317 TPS40057 TPS40200 TPS5410 PTN78000 PTB78560 TPS V TPS40061 PTMA Due to Vout/Vin ratio core voltage may have to be stepped down from 3.3-V I/O. *Piccolo only requires a single-rail LDO. I/O supply 3.3 V, current up to 500 ma. Core supply down to 1.8 V, current up to 1 A. Example C2000 Microcontroller Power Supply Design Power Options for TMS320F2802x/3x Microcontrollers OUT OUT For additional power supply designs for TI Microcontrollers, please visit Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level power requirements to ensure an adequate power supply design is used.

88 86 MSP430 Ultra-Low Power Microcontrollers Silicon MSP430 Microcontrollers Ultra-Low Power, Easy-to-Use, 16-Bit RISC Microcontrollers Get samples, data sheets, tools and app reports at: Key Applications Low-power wireless applications Consumer electronics Utility metering Intelligent sensing and control Portable medical and instrumentation Security systems Key Features 16-bit RISC CPU enables new applications at a fraction of the code size Ultra-low power architecture and flexible clock system extends battery life: 0.1µA RAM retention <1µA real-time clock mode Down to 165 µa/mips Increased performance Up to 25 MHz 1.8V ISP Flash erase and write Fail-safe, flexible clocking system User-defined bootstrap loader Up to 1-MB linear memory addressing Innovative features Multi-channel DMA supports data movement in standby mode Industry-leading code density More design options including USB, RF, LCD interface Integrated intelligent peripherals including a wide range of highperformance analog and digital peripherals offload the CPU Easy to get started: Complete development tools starting at only U.S. $20 with integrated development environments available free of charge Coming Soon: All New CC430 RF System-on-Chip Integrates MSP430F5xx with CC1101 High analog performance/integration Ease of development High RF sensitivity and blocking Flexible data rate and RF modulation formats Performance 75+ devices 1xx 8 MIPS 60KB Flash 10-KB RAM V MSP430 roadmap Clocks, Power Management Unified Clock System Supply Supervisors Supply Monitors Brownout 100+ devices 2xx 16 MIPS 120KB Flash 8-KB RAM 500-nA standby V Flash RISC CPU 16-Bit CPU/ Memory Enhanced Eumulation Module JTAG Spy-Bi-Wire Interface RAM DMA Controller System Control/ Watchdog RF Transceiver Packet Handler Digital RSSI Carrier Sense PQI/LQI CCA Sub-1 GHz Radio CPU Interface Modem Frequency Synthesizer RF/Analog TX&RX Timing & Control General- Purpose Timers Capture/ Compare PWM Outputs Basic Timer +RTC High- Performance Analog CC430 high integration reduces complexity, lets designers do more with less. MSP430x1xx Flash/ROM-based MCUs offering 1.8V to 3.6V operation, up to 60 kb, 8 MIPS and a wide range of peripherals. MSP430F2xx Flash-based family featuring even lower power and up to 16 MIPS with 1.8V to 3.6V operation. Additional enhancements include ±1% on-chip very-low-power oscillator, internal pull-up/pull-down resistors and lowpin-count options. MSP430x4xx Flash/ROM-based devices offering 1.8V to 3.6V operation, up to 120-kB Flash/ROM, 16 MIPS with Analog integration: ADC10/12, 16-bit sigma delta, 12-bit DAC, op amps Digital peripherals: 16 16/32 32 multiplier, DMA 2 Communications: USB, UART, I C, SPI, LIN, IrDA Integration F541x F/CG461x F51x2 F543x ADC Comparators F551x F552x CC430 F56xx F66xx The New Generation 5xx 6xx 25 MIPS 256KB Flash 16-KB RAM V USB, RF 6xx: LCD Controller 165-µA/MHz 100+ devices 4xx: LCD 16 MIPS 120KB Flash 8-KB RAM LCD Controller, 160 Segments V Communication Universal Serial Communication Interfaces SPI, USART, I 2 C AES I/O & Display General- Purpose I/O Pull-Up, Pull-Down, Drive Segment LCD Static, Muxed FLL + SVS along with an integrated LCD controller. Ideal for low-power metering and medical applications. MSP430F5xx/6xx New Flash-based microcontroller family featuring the lowest power consumption and performance up to 25 MIPS. It offers a wide operating voltage range from 1.8V to 3.6V. Features include an innovative power management module for optimizing power consumption, an internally controlled voltage regulator, integrated LDC driver on select devices and a wide range of memory options up to 256 kb.

89 MSP430 Ultra-Low Power Microcontrollers Ultra-Low Power, 16-Bit RISC Bit RISC CPU Large register file eliminates accumulator bottleneck Optimized for C and assembler programming Compact core design reduces power and cost Up to 16 MIPS of performance available The MSP430 MCU s orthogonal architecture provides the flexibility of 16 fully addressable, single-cycle 16-bit CPU registers and the power of a RISC. The modern design of the CPU offers versatility using only 27 easy-to-understand instructions and seven consistent addressing modes. This results in a 16-bit low-power CPU that has more effective processing, is smaller-sized and more code-efficient than other microcontrollers. Develop new ultra-low power, high-performance applications at a fraction of the code size. 15 RO/PC R4 R15 Program Counter R1/SP Stack Pointer R2/SR/CG1 Status R3/CG2 Constant Generator General Purpose General Purpose The MSP430 CPU core with sixteen 16-bit registers, 27 core instructions and seven addressing modes results in higher processing efficiency and code density. 0 Ultra-Low Power Performance The MSP430 was designed to provide industry-leading ultra-low power performance. A flexible clocking system, multiple operating modes and zero-power alwayson brown-out reset (BOR) are implemented to reduce power consumption and dramatically extend battery life. The MSP430 BOR function is always active, even in all low-power modes to ensure the most reliable performance possible. The MSP430 CPU architecture with 16 registers, 16-bit data and 16-/20-bit address buses minimizes power consuming fetches to memory and a fast vectored-interrupt structure reduces the need for wasteful CPU software flag polling. Intelligent hardware peripheral features were also designed to allow tasks to be completed more efficiently and independent of the CPU. Many MSP430 customers have developed battery-based products that will last for over 10 years from the original battery! Ultra-Low Power Checklist: Multiple operating modes 0.1µA RAM retention <1µA real-time clock mode Down to 165 µa/mips Instant-on stable high-speed clock 1.8V to 3.6V operation Zero-power BOR <50-nA pin leakage CPU that minimizes CPU cycles per task Low-power peripheral options Flexible Clock System Low-frequency auxiliary clock for ultralow power stand-by mode High-speed master clock for highperformance processing Stability over time and temperature The MSP430 MCU clock system is designed specifically for battery-powered applications. Multiple oscillators are utilized to support event-driven burst activity. A low-frequency Auxiliary Clock (ACLK) is driven directly from a common 32-kHz watch crystal or the internal very-lowpower oscillator (VLO) with no additional external components.the ACLK can be used for a background real-time clock self wake-up function. An integrated highspeed digitally controlled oscillator (DCO) can source the master clock (MCLK) used by the CPU and sub-main clock (SMCLK) used by the high-speed peripherals. By design, the DCO is active and stable in 1µs (F2xx) or < 6µs (x1xx, x4xx, F5xx). MSP430 device-based solutions efficiently khz Control Digitally Controlled Oscillator Multiple oscillator clock system Very-Low Power Oscillator (VLO) Up to 20 khz f Crystal use 16-bit RISC CPU high performance in very short burst intervals. This results in very high performance and ultra-low power consumption. ACLK 32 khz Low-Power Peripherals MCLK 100 khz 16 MHz CPU and Peripherals

90 88 MSP430 Ultra-Low Power Microcontrollers Silicon Ultra-Low Power 16-bit RISC MSP430 MCUs are the industry s lowest-power solution featuring a wide range of integrated peripherals for 8- to 16-bit batterypowered measurement applications. Zero-power Brown Out Reset (BOR) 1µs clock startup <50-nA pin leakage Device Configuration 1KB to 256KB Flash Up to 16KB RAM 14- to 100-pin options Integrated Peripherals 10-/12-bit SAR ADC 16-bit Sigma Delta ADC 12-bit DAC Comparator LCD driver Supply voltage supervisor (SVS) Op-amps 16-bit and 8-bit timers Watchdog timer UART/LIN I 2 C SPI IrDA Hardware multiplier DMA controller Temperature sensor USB LDO/PMM MSP430 Flash Emulation Tools JTAG-based real-time in-system debugging and programming CD-ROM includes IDE, assembler, linker, simulator and C compiler The Flash Emulation Tool (FET) supports complete in-system development and is available for all MSP430 Flash devices. Programming, assembler/c sourcelevel debug, single stepping, multiple hardware breakpoints, full-speed operation and peripheral access are all fully supported in systems using JTAG. The ez430-f2013 USB development tool is available for only U.S. $20 and includes all the software and hardware you need to start your MSP430 design. Flash-Based F2xx MCU Family Featuring Lower Power and Up to 16 MIPS* with V Operation Enha Program SRAM 16-Bit Timers 2 (F) Flash (KB) (B) I/O A B Watchdog F20xx MSP430F MSP430F MSP430F MSP430F MSP430F MSP430F F21xx MSP430F , 2 MSP430F , 2 MSP430F , 2 MSP430F , 2 MSP430F , 2 MSP430F , 2 MSP430F , 2 F22x2 MSP430F MSP430F MSP430F F22x4 MSP430F MSP430F MSP430F F23x0 MSP430F MSP430F MSP430F F23x MSP430F MSP430F F24x/2410 MSP430F MSP430F MSP430F MSP430F F24x1 MSP430F MSP430F MSP430F F241x MSP430F / MSP430F / MSP430F / MSP430F / F261x MSP430F / MSP430F / MSP430F / MSP430F / Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. * See for additional information.

91 MSP430 Ultra-Low Power Microcontrollers Silicon 89 ncements Include ±1% On-Chip Very-Low-Power Oscillator, Internal Pull-Up/Pull-Down Resistors and Low Pin-Count Options USCI 3 Ch A: USI 4 : UART/LIN/ Ch B: MPY Temp ADC Additional 1-KU BOR SVS I 2 C/SPI IrDA/SPI I 2 C/SPI DMA (16 16) Comp_A+ Sensor Ch/Res Features Packages Price 1 slope 14 PW, N, 16 RSA 0.55 slope 14 PW, N, 16 RSA ch, ADC10 14 PW, N, 16 RSA ch, ADC10 14 PW, N, 16 RSA ch, SD16 14 PW, N, 16 RSA ch, SD16 14 PW, N, 16 RSA 1.35 slope 20 DGV, DW, PW, 24 RGE 0.75 slope 20 DGV, DW, PW, 24 RGE 0.80 slope 20 DGV, DW, PW, 24 RGE 1.10 slope 20 DGV, DW, PW, 24 RGE ch, ADC10 28 PW, 32 RHB ch, ADC10 28 PW, 32 RHB ch, ADC10 28 PW, 32 RHB ch, ADC10 38 DA, 40 RHA ch, ADC10 38 DA, 40 RHA ch, ADC10 38 DA, 40 RHA ch, ADC10 (2) OPAMP 38 DA, 40 RHA ch, ADC10 (2) OPAMP 38 DA, 40 RHA ch, ADC10 (2) OPAMP 38 DA, 40 RHA slope 40 RHA slope 40 RHA slope 40 RHA, 49 YFF ch, ADC12 64 PM, 64 RGC ch, ADC12 64 PM, 64 RGC ch, ADC12 64 PM, 64 RGC ch, ADC12 64 PM, 64 RGC ch, ADC12 64 PM, 64 RGC ch, ADC12 64 PM, 64 RGC slope 64 PM, 64 RGC slope 64 PM, 64 RGC slope 64 PM, 64 RGC ch, ADC12 64 PM, 80 PN, 113 ZQW ch, ADC12 64 PM, 80 PN, 113 ZQW ch, ADC12 64 PM, 80 PN, 113 ZQW ch, ADC12 64 PM, 80 PN, 113 ZQW ch, ADC12 (2) DAC12 64 PM, 80 PN, 113 ZQW ch, ADC12 (2) DAC12 64 PM, 80 PN, 113 ZQW ch, ADC12 (2) DAC12 64 PM, 80 PN, 113 ZQW ch, ADC12 (2) DAC12 64 PM, 80 PN, 113 ZQW Represents number of capture/compare registers and PWM output generators per timer. New products are listed in red. 3 USCI channel 1 supports UART/LIN, IrDA and SPI; USCI channel 2 supports I 2 C and SPI. 4 USI supports I 2 C or SPI.

92 90 MSP430 Ultra-Low Power Microcontrollers Silicon Flash/ROM-Based x1xx MCU Family Offering V Operation, Up to 60 kb, 8 MIPS* and a Wide Range of Peripherals USART: (C) ROM Program SRAM 16-Bit Timers 2 (UART/ MPY Temp ADC Additional 1-KU (F) Flash (KB) (B) I/O A B Watchdog BOR SVS SPI) DMA (16 16) Comp_A Sensor Ch/Res Features Packages Price 1 x11x1 MSP430F1101A slope 20 DGV, DW, PW, 24 RGE 0.99 MSP430C slope 20 DW, PW, 24 RGE 1.25 MSP430F1111A slope 20 DGV, DW, PW, 24 RGE 1.35 MSP430C slope 20 DW, PW, 24 RGE 1.10 MSP430F1121A slope 20 DGV, DW, PW, 24 RGE 1.70 MSP430C slope 20 DW, PW, 24 RGE 2.15 F11x2 MSP430F ch, ADC10 20 DW, PW, 32 RHB 2.00 MSP430F ch, ADC10 20 DW, PW, 32 RHB 2.25 F12x MSP430F slope 28 DW, PW, 32 RHB 2.15 MSP430F slope 28 DW, PW, 32 RHB 2.30 F12x2 MSP430F ch, ADC10 28 DW, PW, 32 RHB 2.40 MSP430F ch, ADC10 28 DW, PW, 32 RHB 2.50 F13x MSP430F ch, ADC12 64 PM, PAG, RTD 3.00 MSP430F ch, ADC12 64 PM, PAG, RTD 3.60 F13x1 MSP430C slope 64 PM, RTD 2.00 MSP430C slope 64 PM, RTD 2.30 F14x MSP430F ch, ADC12 64 PM, PAG, RTD 5.05 MSP430F ch, ADC12 64 PM, PAG, RTD 5.75 MSP430F ch, ADC12 64 PM, PAG, RTD 6.05 F14x1 MSP430F slope 64 PM, RTD 4.60 MSP430F slope 64 PM, RTD 5.30 MSP430F slope 64 PM, RTD 5.60 F15x MSP430F with I 2 C 8ch, ADC12 (2) DAC12 64 PM, RTD 4.95 MSP430F with I 2 C 8ch, ADC12 (2) DAC12 64 PM, RTD 5.55 MSP430F with I 2 C 8ch, ADC12 (2) DAC12 64 PM, RTD 5.85 F16x1 MSP430F with I 2 C 8ch, ADC12 (2) DAC12 64 PM, RTD 6.75 MSP430F with I 2 C 8ch, ADC12 (2) DAC12 64 PM, RTD 7.45 MSP430F with I 2 C 8ch, ADC12 (2) DAC12 64 PM, RTD 7.95 MSP430F with I 2 C 8ch, ADC12 (2) DAC12 64 PM, RTD 8.25 MSP430F with I 2 C 8ch, ADC12 (2) DAC12 64 PM, RTD 8.65 MSP430F with I 2 C 8ch, ADC12 (2) DAC12 64 PM, RTD Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Represents number of capture/compare registers and PWM output generators per timer. *See for additional information.

93 MSP430 Ultra-Low Power Microcontrollers Silicon 91 Flash/ROM-Based x4xx MCU Family Offering V Operation, Up to 120 kb Flash/ROM, 8 MIPS with FLL + SVS and Integrated LCD Controller* USCI 16-Bit Watchdog Ch A: (C) ROM Program SRAM Timers 3 and Basic USART: UART/LIN/ Ch B: LCD MPY Temp ADC Additional 1-KU (F) Flash (KB) (B) I/O A B Timer BOR SVS (UART/SPI) IrDA/SPI I 2 C/SPI Segments DMA (16 16) Comp_A Sensor Ch/Res Features Package(s) Price 1 x41x MSP430F slope 64 PM, RTD 2.60 MSP430C slope 64 PM, RTD 1.90 MSP430F slope 64 PM, RTD 2.95 MSP430C slope 64 PM, RTD 2.10 MSP430F ,5 96 slope 64 PM, RTD 3.40 MSP430F ,5 96 slope 64 PM, RTD 3.90 F41x2 MSP430F ch, ADC10 64 PM, 48 RGZ 1.70 MSP430F ch, ADC10 64 PM, 48 RGZ 1.90 F42x MSP430F (3) SD16 64 PM 4.55 MSP430F (3) SD16 64 PM 5.05 MSP430F (3) SD16 64 PM 5.45 FW42x MSP430FW , 5 96 slope Flow-meter 64 PM 3.75 MSP430FW , 5 96 slope Flow-meter 64 PM 4.05 MSP430FW , 5 96 slope Flow-meter 64 PM 4.45 FE42xx MSP430FE (3) SD16 E-meter 64 PM 4.90 MSP430FE (3) SD16 E-meter 64 PM 5.40 MSP430FE (3) SD16 E-meter 64 PM 5.95 MSP430FE (2) SD16 E-meter 64 PM 3.50 MSP430FE (2) SD16 E-meter 64 PM 3.70 F42x0 MSP430F ch, SD16 DAC12 48 DL, RGZ 3.10 MSP430F ch, SD16 DAC12 48 DL, RGZ 3.45 MSP430F ch, SD16 DAC12 48 DL, RGZ 3.80 FG42x0 MSP430FG ch, SD16 DAC12, (2) OPAMP 48 DL, RGZ 3.35 MSP430FG ch, SD16 DAC12, (2) OPAMP 48 DL, RGZ 3.70 MSP430FG ch, SD16 DAC12, (2) OPAMP 48 DL, RGZ 4.05 F43x MSP430F /160 8ch, ADC12 80 PN, 100 PZ 4.45 MSP430F /160 8ch, ADC12 80 PN, 100 PZ 4.70 MSP430F /160 8ch, ADC12 80 PN, 100 PZ 4.90 F43x1 MSP430F /160 slope 80 PN, 100 PZ 4.05 MSP430F /160 slope 80 PN, 100 PZ 4.30 MSP430F /160 slope 80 PN, 100 PZ 4.50 FG43x MSP430FG ch, ADC12 (2) DAC12, (3) OPAMP 80 PN 6.50 MSP430FG ch, ADC12 (2) DAC12, (3) OPAMP 80 PN 7.35 MSP430FG ch, ADC12 (2) DAC12, (3) OPAMP 80 PN 7.95 F44x MSP430F ch, ADC PZ 5.75 MSP430F ch, ADC PZ 6.50 MSP430F ch, ADC PZ 7.05 xg461x MSP430FG ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ, 113 ZQW 9.45 MSP430FG ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ, 113 ZQW 9.95 MSP430FG ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ, 113 ZQW MSP430FG ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ, 113 ZQW Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the Preview products are listed in bold blue. most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Up to 16 MIPS. 3 Represents number of capture/compare registers and PWM output generators per timer. *See for additional information. Continued on the following page.

94 92 MSP430 Ultra-Low Power Microcontrollers Silicon Flash/ROM-Based x4xx MCU Family Offering V Operation, Up to 120 kb Flash/ROM, 8 MIPS with FLL + SVS and Integrated LCD Controller (Cont d)* USCI 16-Bit Watchdog Ch A: (C) ROM Program SRAM Timers 3 and Basic USART: UART/LIN/ Ch B: LCD MPY Temp ADC Additional 1-KU (F) Flash (KB) (B) I/O A B Timer BOR SVS (UART/SPI) IrDA/SPI I 2 C/SPI Segments DMA (16 16) Comp_A Sensor Ch/Res Features Package(s) Price 1 xg461x (Continued) MSP430CG ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ 7.65 MSP430CG ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ 8.05 MSP430CG ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ 8.40 MSP430CG ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ 8.05 F47xx MSP430F WDT (3) SD PZ 7.50 MSP430F WDT (3) SD PZ 8.05 MSP430F WDT (4) SD PZ 8.00 MSP430F WDT (4) SD PZ 8.55 F471xx MSP430F (6) SD16 RTC 100 PZ TBD MSP430F (6) SD16 RTC 100 PZ TBD MSP430F (6) SD16 RTC 100 PZ TBD MSP430F (6) SD16 RTC 100 PZ TBD MSP430F (7) SD16 RTC 100 PZ TBD MSP430F (7) SD16 RTC 100 PZ TBD MSP430F (7) SD16 RTC 100 PZ TBD MSP430F (7) SD16 RTC 100 PZ TBD FG47x MSP430FG KB (1) SD16 DAC12, (2) OPAMP 80 PN, 113 ZQW 5.50 MSP430FG KB (1) SD16 DAC12, (2) OPAMP 80 PN, 113 ZQW 5.65 MSP430FG KB (1) SD16 DAC12, (2) OPAMP 80 PN, 113 ZQW 6.25 F47x MSP430F KB (1) SD16 DAC12 80 PN, 113 ZQW 4.70 MSP430F KB (1) SD16 DAC12 80 PN, 113 ZQW 5.20 MSP430F KB (1) SD16 DAC12 80 PN, 113 ZQW Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the New products are listed in red. most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Up to 16 MIPS. 3 Represents number of capture/compare registers and PWM output generators per timer. *See for additional information. Flash-Based F5xx MCU Family Features Lowest Power Consumption, Up to 25 MIPS* with V Operation Starting at 12 MIPS USCI 16-Bit PMM (BOR, Ch A: Program SRAM Timers 4 Watchdog SVS, SVM, UART/LIN/ Ch B: MPY Temp ADC Additional 1-KU (F) Flash (KB) (KB) I/O A B and RTC LDO) IrDA/SPI I 2 C/SPI DMA (32 32) Comp_B Sensor Ch/Res Features Package(s) Price 1 MSP430F ,3, ch USB 64 RGC, 80 ZQE 3.55 MSP430F ,3, ch USB 80 PN 3.65 MSP430F ,3, ch 12 ch ADC12 A USB 64 RGC, 80 ZQE 3.55 MSP430F ,3, ch 16 ch ADC12 A USB 80 PN 3.70 MSP430F ,3, ch 12 ch ADC12 A USB 64 RGC, 80 ZQE 3.80 MSP430F ,3, ch 16 ch ADC12 A USB 80 PN 3.90 MSP430F ,3, ch 12 ch ADC12 A USB 64 RGC, 80 ZQE 3.95 MSP430F ,3, ch 16 ch ADC12 A USB 80 PN 4.00 MSP430F , ch 16 ch ADC12 A 18 MIPS 80 PN 3.30 MSP430F , ch 16 ch ADC12 A 18 MIPS 100 PZ, 113 ZQW 3.65 MSP430F , ch 16 ch ADC12 A 18 MIPS 80 PN 3.90 MSP430F , ch 16 ch ADC12 A 18 MIPS 100 PZ, 113 ZQW 4.30 MSP430F , ch 16 ch ADC12 A 18 MIPS 80 PN 4.40 MSP430F , ch 16 ch ADC12 A 18 MIPS 100 PZ, 113 ZQW Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the Preview products are listed in blue. most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Additional SRAM available if USB is disabled. 3 Revision A with 25 MIPS available in 4Q09. 4 Represents number of capture/compare registers per timer. *See for additional information.

95 MSP430 Ultra-Low Power Microcontrollers Silicon 93 Intelligent Peripherals With purely software-driven functions, the CPU is 100% active and consuming power. Effectively utilizing peripherals allows the CPU to be turned off to save power or work on other activities to achieve the highest performance. MSP430 device peripherals are designed to require the least amount of software service. Additional hardware features allow CPU resources to focus more on differentiated application-specific features and less on basic data handling. Lower-cost systems can be implemented using less software and lower power. Peripheral Overview ADC10/ADC12 The ADC10/12 module supports fast, >200ksps, 10-bit or 12-bit analog-todigital conversions. The module features a 10- or 12-bit SAR core with 5, 8 or 12 input channels, sample select control, 1.5/2.5V reference generator and internal temperature sensor. ADC10 features a data transfer controller (DTC) and ADC12 features a 16-word conversion-and-control buffer. These added features allow samples to be converted and stored without CPU intervention. BOR The brown-out reset (BOR) circuit detects low supply voltages and reset circuit resets the device by triggering a POR signal when power is applied or removed. MSP430 s zero-power BOR circuit is continuously turned on, including in all low-power modes. Comparator_A/Comparator_A+ The Comparator_A/A+ module supports precision slope analog-to-digital conversions, supply voltage supervision and monitoring of external analog signals for accurate voltage and resistor value measurement. The module features a selectable reference voltage generator and input multiplexer. (Comp A+) DAC12 The DAC12 module is a 12-bit, voltage output DAC featuring internal or external reference selection, programmable settling time for optimal power consumption and can be configured in 8-bit or 12-bit mode. When multiple DAC12 modules are present, they may be grouped together for synchronous update operation. DMA The direct memory access (DMA) controller transfers data from one address to another, without CPU intervention, across the entire address range. The DMA increases the throughput of peripheral modules and reduces system power consumption. The module features up to three independent transfer channels. ESP430 (integrated in FE42x devices) The ESP430CE1 module incorporates the SD16, hardware multiplier and ESP430 embedded processor engine for single-phase energy metering applications. The module performs metering calculations independent of the CPU. FLASH The MSP430 Flash memory is bit-, byte- and word-addressable and programmable. The main memory segment size is 512 Bytes. Each MSP430 also has up to 256 Bytes of Flash Information Memory for EEPROM emulation. Flash can be read, erased and written (100,000 cycles) through the JTAG debugging interface, the Bootstrap Loader and in system. I/O MSP430 devices have up to 12 digital I/O ports implemented. Each port has eight I/O pins. Every I/O pin is configurable for input or output direction, and can be individually read or written to. Ports P1 and P2 have interrupt capability. MSP430F2xx, 5xx and some 4xx devices feature built-in individually configurable pull-up or pulldown resistors. LCD/LCD_A The LCD/LCD_A controller directly drives LCD displays with automatic signal generation for up to 160 segments. The MSP430 LCD controller can support static, 2-mux, 3-mux and 4-mux LCDs. The LCD_A module includes an integrated charge pump for contrast control. MPY The hardware multiplier module supports 8/16-bit 8/16-bit signed and unsigned multiply with optional multiply and accumulate functionality. It is a peripheral which does not interfere with CPU activities and can be accessed by the DMA. The MPY on new F47xx and F5xx devices features up to bit operation. OpAmp The MSP430 integrated operational amplifiers feature single-supply, low-current operation with rail-to-rail outputs and programmable settling times. Internal, programmable feedback resistors and connections between multiple op amps allow for a variety of software-selectable configuration options including: unity gain mode, comparator mode, inverting PGA, non-inverting PGA, differential and instrumentation amplifier. Real-Time Clock / Basic Timer The BT has two independent 8-bit timers which can be cascaded to form a 16-bit timer/counter. Both timers can be read and written by software. The BT is extended to provide an integrated RTC. An internal calendar compensates for months with less than 31 days and includes leap year correction. SCAN IF The Scan IF module is a programmable state machine with analog front end used to automatically measure linear or rotational motion with the lowest possible power consumption. The module features support for different types of LC and resistive sensors and for quadrature encoding. SD16/SD16_A The SD16/SD16_A module features up to three 16-bit sigma-delta A/D converters with an internal 1.2V reference. Each has up to eight fully differential multiplexed inputs including a built-in temperature sensor. The converters are second-order oversampling sigmadelta modulators with selectable oversampling ratios of up to 1024 (SD16_A) or 256 (SD16). SVS The supply voltage supervisor (SVS) is a configurable module used to monitor the AVCC supply voltage or an external voltage. The SVS can be configured to set a flag or generate a POR reset when the supply voltage or external voltage drops below a user-selected threshold. Timer_A/Timer_B Timer_A and Timer_B are asynchronous 16-bit timer/counters with up to seven capture/compare registers and four operating modes. The timers support multiple capture/ compares, PWM outputs and interval timing and also have extensive interrupt capabilities. USART The universal synchronous/asynchronous receive/transmit (USART) peripheral interface supports asynchronous RS-232 and synchronous SPI communication with one hardware module. The MSP430F15x and MSP430F16x USART module also supports I2C. The module supports programmable baud rate and independent interrupt capability for receive and transmit. USB The USB module is fully compliant with the USB 2.0 specification and supports control, interrupt and bulk transfers at 12-Mbps data rate (full speed). The module supports USB suspend, resume and remote wakeup operations and can be configured for up to eight input and eight output endpoints. The module includes an integrated physical interface (PHY), a phase locked loop (PLL) for USB clock generation, and a flexible power supply system enabling bus-powered and self-powered devices. USCI The universal serial communication interface (USCI) module features two independent channels which can be used simultaneously. The asynchronous channel (USCI_A) supports UART mode, SPI mode, pulse shaping for IrDA and automatic baud rate detection for LIN communications. The synchronous channel (USCI_B) supports I 2 C and SPI modes. USI The universal serial interface (USI) module is a synchronous serial communication interface with a data length of up to 16 bits and can support SPI and I 2 C communication with minimal software. Watchdog+ The WDT+ performs a controlled system restart after a software problem occurs. If the selected time interval expires, a system reset is generated. If the watchdog function is not needed in an application, the module can be configured as an interval timer and can generate interrupts at selected time intervals.

96 94 MSP430 Ultra-Low Power Microcontrollers Tools Development Kits Contents Part Number Packages Supported Price 1 USB FET programming tools and target board MSP-FET430U14 14-pin PW (TSSOP) 149 MSP-FET430U28 20-/28-pin PW (TSSOP) 149 MSP-FET430U38 38-pin DA (TSSOP) 149 MSP-FET430U23x0 MSP430F23x0 40-pin DHA (QFN) 149 MSP-FET430U48 48-pin DL (SSOP) 149 MSP-FET430U64 64-pin PM (LQFP) 149 MSP-FET430U80 80-pin PN (LQFP) 149 MSP-FET430U pin PZ (LQFP) 149 MSP-FET430U5x100 MSP430F5xx 100-pin PZ (TQFP) 149 MSP-FET430U80USB 80-pin PN (LQFP) 149 Target board only MSP-TS430PM64 64-pin PM (LQFP) 75 MSP-TS430PZ5x100 MSP430F5xx 100-pin PZ (TQFP) 75 MSP-TS430PN80USB 80-pin PN (LQFP) 75 ez430 Tools Part Number Contents Included Devices Price 1 ez430-f2013 Programmer and target board MSP430F ez430-f target boards MSP430F ez430-rf2500 Interface, (2) target boards, battery board MSP430F2274, CC ez430-rf2500t Target board, battery board MSP430F2274, CC ez430-rf2500-seh Interface, (2) target boards, battery board, solar energy harvesting board MSP430F2274, CC ez430-rf2480 Interface, (3) target boards, 2 battery boards MSP430F2274, CC Experimenter Boards Part Number Board Features Included Devices Price 1 MSP-EXP430FG4618 Microphone, audio output buzzer, LCD, capacitive touch-pad, 2 push MSP430FG4618, MSP430F buttons, prototyping space, RS-232 communication interface, RF connectivity MSP-EXP430F5438 Socket for MSP430, 3-axis accelerometer, microphone, audio output, dot matrix MSP430F LCD, joystick, RF connectivity, USB connectivity Software Development Tools Part Number Contents Include Devices Supported Price 1 IAR-KICKSTART IAR Embedded Workbench Kickstart Edition (4 KB limited) All Free MSP-CCE430 Code Composer Essentials Core Edition (16 KB limited) All Free MSP-CCE430PRO Code Composer Essentials Professional (Unrestricted) All 499 Debugging and Programming Interfaces Part Number PC Port Contents Include Devices Supported Price 1 MSP-FET430UIF USB Interface only All 99 MSP-GANG430 Serial Production programmer All (8 devices at one time) 199 MSP-FET430PIF Parallel Interface only All (except MSP430F20xx) 49 1 Suggested resale price per unit in U.S. dollars. New products are listed in bold red.

97 Complementary Products for the MSP430 Family Complementary Analog Products Amplifiers for MSP430 Microcontrollers Key Feature Device Device Features Slow mode (Low frequency signals) Ultra-low power OPA µA Iq (Max) RRIO, zero crossover, 12 khz Slow mode (Low frequency signals) Ultra-low power OPA349 2-µA Iq (Max), RRIO, 10-pA max IB, 70 khz Slow mode (Low frequency signals) Ultra-low power OPA µA Iq (Max), RRIO, 1.5-mV max offset, 90kHz Slow mode (Low frequency signals) Ultra-low power OPA µA Iq (Max), zero drift, 1.1-uVPP noise, 350 khz Slow mode (Low frequency signals) Ultra-low power TLV276x 28-µA Iq (Max), 15-pA max offset, RRIO, 500 khz Slow mode (Low frequency signals) Programmable PGA112/113 RRIO, single supply, 2-ch MUX, easy SPI interface. Up to 200 gain with 4-µA shutdown Medium / fast modes High performance OPA37 20 nv/hz, 25-µV Vos, zero drift, RRIO, 0.9 MHz Medium / fast modes High performance OPA334 5-µV Vos, shutdown, RRO, 2 MHz Medium / fast modes High performance OPA µV Vos, 7.5 nv/hz, 5 MHz Medium / fast modes High performance OPA363/4 500-µV Vos, zero crossover, RRIO, 7 MHz Medium / fast modes High performance TLV278x RRIO, shutdown, 8 MHz Instrumentation amplifiers Measuring very small signals INA µa, 10-pA bias, RRO, shutdown Instrumentation amplifiers Measuring very small signals INA µa, 10-pA bias, RRO, shutdown Instrumentation amplifiers Measuring very small signals INA µa, 10-pA bias, RRO, 2 MHz, shutdown Instrumentation amplifiers Measuring very small signals INA µa, 10-pA bias, RRO, 2 MHz, shutdown Instrumentation amplifiers Measuring very small signals INA µa, 25-µV offset, RRIO, zero drift 95 Data Converters for MSP430 Microcontrollers Key Feature Device Device Features Analog-to-digital converter Higher speed, precision ADS bit, 500 ksps, ±1 LSB INL, single supply, 95.2dB 10 khz, kSPS. Performance upgrade MSP430 on-board ADC Analog-to-digital converter Higher speed, precision ADS bit 250 ksps, single supply, 74 10kSPS & Vdd= 2.7V, ±1.5 LSB max INL, 91 db SNR Analog-to-digital converter Higher speed, precision ADS7886/7/8 12-/10-/8-bit, 1 MSPS, 1.5 ma and auto power down, easy speed upgrade Analog-to-digital converter Higher speed, precision ADS7866/7/8 12-/10-/8-bit, 200 ksps, 100 µa and auto power down, easy power upgrade Analog-to-digital converter Higher resolution ADS bit, dual-matched current sources, 8 GPIO, low-drift internal reference 4 ppm/ C (typ), oscillator, sensor, burnout detect, 50-/60-Hz rejection. Great for MSP430-based temperature solutions. Analog-to-digital converter Higher resolution ADS bit, ultra-low noise (17 nv RMS) bridge sensor ADC, designed for weigh-scale MSP430 systems Analog-to-digital converter Higher resolution ADS bit, internal reference, oscillator, PGA, 90 µa (240 with ref), easily add an SD16 to MSP430 Analog-to-digital converter Higher resolution ADS bit, low cost, 90 µa, easy interface, PGA, OSC, simple Delta Sigma addition to MSP430 systems Digital-to-analog converter Precision DACx311/8411 Tiny, low-power 8- to 16-bit DACs with wide supply and temp ranges. <100 µa/ch., ±1 LSB INL, 5 µs settling time Digital-to-analog converter Precision DAC7552 Small, low-power, low-glitch DAC. ±1 LSB INL (max), glitch energy: 0.1 nv-s, 200 µa/ch, 5 µs settling time Digital-to-analog converter Precision DAC8830 Low-power, high-speed DAC, ±1 LSB INL, 6 µa typ, 1 µs max settling, low noise: 18 nv/hz; gain drift: ±0.1 ppm/ C Temperature Sensors for MSP430 Microcontrollers Key Feature Device Device Features Local TMP C accuracy, 10 µa active current with shutdown Remote + local TMP400 1 C accuracy with N-factor and series resistance Remote + local TMP421/422 Tiny SOT C accuracy with N-factor and series resistance correction Voltage References for MSP430 Microcontrollers Key Feature Device Device Features Highest accuracy REF32xx 4 ppm/ C, 0.2% accuracy, 100 µa, SOT23-6 voltage reference Lowest power REF33xx 3.9 µa, 0.15% initial accuracy, SC70-3, 30 ppm/ C drift Interface Products for MSP430 Microcontrollers Device Type Device Device Features I/O Expanders TCA64xx 1.8V I/O expander with interrupt and reset. Great for keypad control. I/O Expanders PCA953x 16-bit low-power bi-directional I/O expander. Line Drivers TRS3227E 3V 5.5V single-channel RS-232 line driver/receiver with ±15-kV IEC ESD protection. A must have for remote RS-232 communication. USB TUSB3410 Add full-speed USB connectivity to the MSP430 using the UART. App note available. Touch-Screen Controllers TSC µW operation with auto shutdown. I 2 C interface. Includes noise averaging and zone-detection preprocessing, optimal support for the MSP430. Includes ESD protection. Touch-Screen Controllers TSC µW operation with auto shutdown, SPI interface. Includes noise averaging and zone-detection processing, optimal support for the MSP430. Includes ESD protection. Touch-Screen Controllers TSC2007 Lowest power controller, 160 µw operation. I 2 C interface, auto power down, 4-wire interface. Optimal for the lowest power demands. Includes noise-filter preprocessing. Includes ESD protection.

98 96 Complementary Products for the MSP430 Family Complementary RF Products Standard-Based Networks IEEE ZigBee Proprietary Networks SimpliciTI Network Protocol System-on-Chip Solutions TI also offers 8051-based System-on- Chip solutions. For IEEE and ZigBee networks, use CC2430/2431; for sub-1 GHz use CC1110/1111 and for 2.4 GHz, CC2510/2511 are recommended. Getting Started with MSP430 and Low-Power RF Devices The MSP430 Code Library for TI RF devices makes communicating to an RF device simple through a MSP430 SPI, USART, USI, USCI or even bitbanged I/O port. For more information, visit MSP430 Ultra-Low Power MCUs and Low-Power RF Devices The Texas Instruments portfolio of MSP430 microcontrollers and Low-Power RF devices are an ideal fit for low-power wireless networks, including standardbased IEEE and ZigBee or other proprietary networks. The MSP430 product line offers the unique combination of ultra-low power consumption and MSP430 and Low-Power RF Selection Table power-saving mechanisms, along with a high-performance 16-bit CPU and integrated analog. Together, MSP430 and TI s Low-Power RF devices help wireless designers achieve low power consumption, long range and reliable performance at a competitive price. Standard-Based Network Proprietary Network IEEE ZigBee ZigBee PRO SimpliciTI CC2520 CC2520 with TIMAC CC2529 with TIMAC Sub-1 GHz 2.4 GHz and Z-Stack software CC1101/CC1150, CC102x/ CC2500/CC2550 CC1070 MSP430F261x MSP430F261x MSP430F261x MSP430F543x MSP430F543x MSP430F543x MSP430 device with >6-KB MSP430 device with >6-KB Flash and 1-KB RAM Flash and 1-KB RAM These are recommended devices. Any MSP430 device can be used depending on Flash/RAM requirements of the software solution. MSP430 Ultra-Low Power MCUs and TI-RFid Devices The TI portfolio of MSP430 microcontrollers and TI-RFid devices are an ideal fit for low-power RFID reader and transponder solutions. Together, MSP430 and TI-RFid devices help RF designers achieve low power consumption, best-in-class read range and reliable performance at a competitive price. MSP430 and TI-RFid Selection Table Applications Access control, digital door lock, Automobile immobilizer, asset Ultra-low power data logger, medical, POS, ticketing, tracking, access control, wireless battery-less sensor emetering prepayment, asset wireless payment, animal interface, multi-purpose LF tracking, inventory control, tracking, production tracking, interface to a microcontroller, product authentication medical remote control applications TI-RFid TRF7960 TMS3705 LF TMS37157 TRF KHz reader 134-KHz transponder IC MHz reader (RTM: 3Q09) TI MCU MSP430F2x MSP430F2x MSP430F2x These are recommended devices. Many MSP430 and TI-RFid devices can be used depending on Flash/RAM requirements of the software solution. For more information visit

99 Low-Power RF for MSP430 Microcontrollers Complementary Products for the MSP430 Family Complementary RF Products Network Key Feature Device Device Features Proprietary 2.4 GHz CC2500 Transceiver with easy SPI interface to MSP430. Low power-down (0.4 µa) and large FIFO designed to maximize power-down time. Proprietary 2.4 GHz CC2550 Lowest-cost transmitter with easy SPI interface to MSP430. Excellent selectivity and very low current consumption. Proprietary Sub 1 GHz CC1101 Transceiver with easy SPI interface to MSP430. Fast PLL turn on designed for frequency hopping. Proprietary Sub 1 GHz CC1150 Low-cost transmitter with easy SPI interface to MSP430. Fast PLL turn on designed for frequency hopping. Zigbee Z-Accel Network Processor CC2480 Zigbee stack and radio on one chip. Easy-to-use API with 10 calls designed to run on MSP430. Zigbee SoC CC2430/1 Zigbee SoC with 32-MHz Use MSP430 for measurement and application processing. Industry-leading performance and hardware location engine. Easy MSP430 interface. 97 Application-Specific Product Recommendations Application MSP430 and RF Solution System-on-Chip Alarm and security (smoke, motion, glass break detector) MSP430F20xx, MSP430F22xx CC1101/CC1150, CC1020/CC1070 CC1110/CC1111, CC251x, CC243x Automated meter reading (AMR) for utility meters, MSP430FE42x, MSP430FW42x, CC1101/CC1150, CC1020/CC1070, CC1110/CC1111, CC251x, advanced meter infrastructure (AMI) MSP430F41x, MSP430F2618 CC2480, CC2520 CC243x Wireless sensor networks (monitoring, asset tracking) MSP430F20xx, MSP430F41x CC1101/CC1150, CC2500/CC2550, CC1110/CC1111, CC251x, CC2480 CC243x, CC2520 Building automation (light, temperature, process MSP430F20x1, MSP430F21x1, CC1101/CC1150, CC2500/CC2550, CC1110/CC1111, CC251x, control) MSP430F41x, MSP430 F2618 CC2520, CC2480 CC243x PC peripherals MSP430F22x2, MSP430F21x1 CC2500/CC2550, CC2520 CC2430, CC251x Home and leisure equipment MSP430F20xx, MSP430F23/24x, CC1101/CC1150, CC2500/CC2550 CC1110/CC1111, CC251x (remote controls, gaming, toys, home electronics) MSP430F41x, MSP430F43x Medical (non-implants) MSP430FG4xxx, MSP430F41x CC1101/CC1150, CC2500/CC2550, CC1110/CC1111, CC243x, CC2480, CC2520 CC251x

100 98 Complementary Products for the MSP430 Family Complementary Power Management Products TPS ma LDO Fixed 3.3Vout TPS ma LDO 3.3V / 2.2Vout TPS ma DC/DC Converter Fixed 3.3Vout TPS ma DC/DC Converter Fixed 3.3Vout TPS ma Boost Converter Fixed 3.3Vout TPS ma DC/DC Converter Fixed 3.3Vout TPS A Buck Boost DC/DC Converter Power Management Products for MSP430 Microcontrollers Key Careabouts Device Description Simple solution TPS ma, ultra-low quiescent current, Iq 1µA, low-dropout linear regulator Simple, long battery life TPS ma, LDO reg, ultra-low power, Iq 500 na with pin-selectable, dual-level output voltage High efficiency TPS62203 High efficiency, SOT-23 step-down, DC-DC converters Tiny, high efficiency TPS mA, 3-MHz synchronous step-down converter in chip scale packaging Ultra-low input voltage TPS61221 Tiny, low-input (down to 0.7V) voltage boost converter High-input voltage TPS V, 1.5-A synchronous step-down converter with shutdown current < 2 µa Wide-input voltage range TPS63001 High-efficient single-inductor buck-boost converter with up to 96% efficiency

101 System Analog Stellaris Family of Microcontrollers Silicon 99 Stellaris 32-Bit ARM Cortex -M3 MCUs Get samples, data sheets, tools and app reports at: Overview TI s Stellaris is the industry s leading family of robust, real-time microcontrollers (MCUs) based on the revolutionary Cortex -M3 technology from ARM. The award-winning Stellaris 32-bit MCUs combine sophisticated, flexible mixed-signal system-on-chip integration with unparalleled real-time multi-tasking capabilities. Complex applications previously impossible with legacy MCUs can now be accommodated with ease by powerful, cost-effective and simple-to-program Stellaris MCUs. With over 140 members in its family, the Stellaris family offers the widest selection of preciselycompatible MCUs in the industry. The Stellaris family is positioned for cost-conscious applications requiring significant control processing and connectivity capabilities, including motion control, monitoring (remote, fire/security, etc.), HVAC and building controls, power and energy monitoring and conversion, network appliances and switches, factory automation, electronic point-of-sale machines, test and measurement equipment, medical instrumentation and gaming equipment. In addition to MCUs configured for general-purpose real-time systems, the Stellaris family offers distinct solutions for advanced motion control and energy-conversion applications, real-time networking and real-time internetworking and combinations of these applications including connected motion control and hard real-time networking. Welcome to the future of microcontrollers! Why Choose Cortex-M3? Cortex-M3 is the MCU version of ARM s V7 instruction set architecture family of cores: Optimized for single-cycle flash usage Deterministic, fast interrupt processing: always 12 cycles, or just 6 cycles with tail chaining Three sleep modes with clock gating for low power Single-cycle multiply instruction and hardware divide Atomic operations ARM Thumb2 mixed 16-/32-bit instruction set 1.25 DMIPS/MHz better than ARM7 and ARM9 Extra debug support including data watchpoints and flash patching Capabilities beyond ARM7 for the microcontroller market: Requires approximately 1/2 the flash (code space) of ARM7 applications 2 4 times faster on MCU control applications No assembly code required ever! Serial Interfaces Motion Control JTAG NVIC SWD ARM Cortex-M3 100 MHz 3 UARTs 2 SSI/SPI 10/100 Ethernet MAC + PHY IEEE 1588 USB Full Speed Host / Device / OTG 3 CAN 2IC 2 2 Quadrature Encoder Inputs 8 PWM Outputs PWM Generator IS 2 PWM Interrupt Why Choose the Stellaris Family? Designed for serious microcontroller applications, the Stellaris family provides the entry into the industry s strongest ecosystem, with code compatibility ranging from U.S. $1 to 1 GHz. Superior integration saves up to U.S $3.28 in system cost Over 140 Stellaris family members to choose from Real MCU GPIOs all can generate interrupts, are 5V-tolerant and have programmable drive strength and slew rate control Advanced communication capabilities, including 10/100 Ethernet MAC/PHY, USB and USB OTG and CAN controllers Sophisticated motion-control support in hardware and software Both analog comparators and ADC functionality provide on-chip system options to balance hardware and software performance Development is easy with the royaltyfree StellarisWare software KB Flash 96-KB SRAM ROM Clocks, Reset System Control Systick Timer 4 Timer/PWM/CCP Each 32-bit or 2 16-bit R T C GPIOs 32-ch DMA EPI Precision Oscillator 32 T Stellaris family block diagram

102 100 Stellaris Family of Microcontrollers Silicon and Tools Memory and Speed Core General-Purpose Timer Modules Motion Control Serial Interfaces Analog Digital Series/Device MCUs in Series Flash (KB) SRAM (KB) ROM S/W Library Max Speed (MHz) Internal Precision Oscillator 32-Bit Timer 16-Bit Timer Watchdog Timers CCP PWM RTC Outputs Fault Inputs QEI 10/100 Ethernet MAC + PHY IEEE 1588 CAN MAC USB Full Speed UART I 2 C SSI/SPI I 2 S ADC Channels ADC (10-Bit) ADC Speed (Ksps) Internal Temp Sensor LDO Voltage Regulator Analog Comparators Digital Comparators GPIOs (5 V) Hibernate Package Options Low-Pin-Count, Real-Time MCUs LM3S100s SOIC LM3S300s LQFP LM3S600s LQFP LM3S800s LQFP High-Pin-Count, Real-Time MCUs LM3S1000s LQFP, 100-LQFP, 108-BGA Ethernet-Connected MCUs LM3S6000s LQFP, 108-BGA USB-Connected MCUs LM3S3000s O/H/D LQFP, 100-LQFP LM3S5000s O/H/D LQFP, 100-LQFP CAN-Connected MCUs LM3S8000s LQFP, 108-BGA Ethernet + CAN-Connected MCUs LM3S8000s LQFP, 108-BGA Ethernet USB + CAN MCUs LM3S9000s O/H/D LQFP 1 Include external 32-bit peripheral interface Product Development We provide a range of support designed to get your applications to market faster and easier than ever before. Compact, versatile and connected! Our evaluation kits provide a low-cost and effective means of evaluating our microcontrollers and getting a jump start on your design Stellaris LM3S811 Evaluation Kit Stellaris LM3S1968 Evaluation Kit Stellaris LM3S2965 Evaluation Kit Stellaris LM3S3748 Evaluation Kit Stellaris LM3S6965 Evaluation Kit Stellaris LM3S8962 Evaluation Kit Stellaris LM3S9B92 Evaluation Kit Stellaris LM3S9B90 Evaluation Kit Stellaris LM3S9B96 Development Kit

103 System Solutions Audio 101 Audio Systems Solutions Get additional information at: Targeted Applications Musical instruments Instrument amplifiers Multi-track recorders Synthesizers Guitar effects Professional audio Digital mixers Signal processors Broadcast encoders DSP farms Home audio AV receivers DVD receivers Home audio jukeboxes Networked audio players Portable audio devices HDD-based MP3 players Flash/CD-based MP3 players Wireless audio headphones Digital radio Additional Resources Audio Solutions Guide TI s complete audio solutions offer best-in-class silicon, software, application knowledge and support and include a variety of flexible, costefficient, high-performance analog and DSP products. Design resources like the Audio Solutions Guide provide valuable technical information, including system block diagrams, detailed product specifications, design considerations and more, helping you get to market fast. Download the Audio Solutions Guide to see the complete spectrum of products that meet the demands of your audio signal chain. Audio Systems Overview Advances in digital audio technologies offer consumers the ability to enjoy their audio at anytime, anywhere and on any device. This new trend in audio is enabled by DSPs that offer this convenience without any sacrifice in quality. DSP technology is also at the forefront of another amazing trend in consumer audio products, the multichannel listening experience. Due to the rapid drop in price points, consumers are enjoying music, movies and television on high-quality, DSP-based 5.1 audio systems. Just as the consumer audio market is benefiting from advances in audio technology, the professional and musical instrument markets are delivering new products that maintain the highest sonic quality at affordable price points. By leveraging DSP horsepower, these markets are giving musicians and sound engineers the ability to create the exact sounds they want. In order to enable designers of digital audio products to leverage advanced Segment Device Production Future Audio solutions roadmap C55x 300 MHz C54x 160 MHz C54x 160 MHz C67x 300 MHz From < $5 to 9 MP3 encoding From 16-bit fixed point to 32-/64-bit floating point From portable to multi-channel solution Time digital audio techniques, TI provides silicon, software, systems expertise and support focused solely on digital audio technologies. TI currently offers a host of products including DSPs, Class-D amplifiers, A/Ds, D/As and SRCs that are designed from the ground up to deliver the most realistic audio experience possible at costeffective price points. Since TI solutions are based on programmable platforms and flexible analog components, developers have maximum flexibility in designing products that meet the needs of the rapidly changing digital audio landscape. TI Audio Systems Benefits Highest performance allows room for innovation with application-specific digital entertainment solutions Programmability and scalability provide open-audio platforms for better differentiation Compact form factor for cool product designs and great sound Easy-to-use, application-specific software and tools get you to market faster C67x Next C672x 350 MHz C672x 350 MHz ARM+DSP Next C55x C55x OMAP35x Next 300 MHz C55x Next C55x OMAP35x 300 MHz High-Performance Audio Broadcast audio Commercial audio High-end consumer audio Professional audio/digital mixers Personal Audio Car audio Digital amplifiers Instrument amplifiers Musical instruments Multitrack recorders Streaming audio Portable Audio Handheld gaming MP3 players Multimedia players/ Jukeboxes Toy audio

104 102 System Solutions Audio Audio Integrated Solutions Professional Audio Development Kit (PADK) The PADK is a hardware/software solution based on the new TMS320C672x floating-point DSPs. The C672x DSPs are optimized for professional audio, musical instruments, broadcast audio and commercial audio applications. For more information, contact your authorized TI distributor or visit The PADK integrates the TMS320C6727 DSP with Burr-Brown ADCs and DACs. This board also features a host of different I/O options and connectors. In addition to the hardware, the PADK includes real-world audio software example code to demonstrate C672x DSP performance and provide a good reference for developers to create customized applications. Further enhancing the utility board is a convenient expansion slot that can allow daughtercards to be used to evaluate other components not featured on the PADK. The comprehensive PADK includes the hardware and software needed to quickly design differentiated pro-audio applications. PADK includes hardware, software and documentation to get started immediately. Professional Audio Development Kit Bundle (PADB) Based on the TMS320C672x floating-point DSPs, the new PADK bundle saves you time and money by combining the PADK with Code Composer Studio IDE Platinum and a USB Emulator. Instantly start your proaudio application with the PADK bundle, which includes real-world pro-audio algorithms and software examples to demonstrate C672x DSP performance and exercise the core s special audio-processing capabilities. Learn more at PADK Bundle for pro-audio applications. Audio Application Notes Application Note Web Search Literature # Initializing the TLC32040 AIC on the TMS320C5x DSK SPRA275 Interfacing TMS320C5x and Parallel Stereo Codec w/ Other SPRA097 TMS320 DSP Considerations Digital Voice Echo Canceller Implementation on the TMS320C5x SPRA142 Decoding Convolutional and Turbo Codes in 3G Wireless White Paper SPRA878 MP3/AAC Player Implementation in RF3 SPRA779 Using the File Navigation API Function in an IACD System SPRA834 Electronic Shock Protection (ESP) for CD Players That Use a C54x DSP SPRA831 TMS320C6201/6701 EVM: TMS320C6000 McBSP to Multimedia Audio Codec SPRA477 Meeting the Pro Audio Challenge White Paper SPRAAA3 Check the TI website for a complete listing of technical documentation including application notes. Application Note Web Search Literature # Using the TMS320C672x Bootloader SPRAA69 TMS320C6000 McBSP to Voice Band Audio Processor (VBAP) Interface SPRA489 AIC27 Example for the TMS320C5510 DSP Prototype Board SPRA813 Implementation of AC-3 Decoder on TMS320C62x DSPs SPRA724 An Audio Example Using DSP/BIOS Kernel SPRA598 Interfacing TLC320AD57 Sigma-Delta Stereo ADC (in Master Mode) SPRA090 with TMS320C5x DSP TMS320C6713 to TMS320C672x Migration Guide SPRAA78 How to Create Delay-Based Audio Effects on a TMS320C6727 DSP SPRAAA5

105 System Solutions HiRel DSPs 103 HiRel Defense and Aerospace Solutions Get additional information at: Targeted Applications Defense Software-defined radio Secure communications Radar Sonar Smart munitions Communications Graphics Image processing Aerospace Motor control Pumps Braking systems Noise cancellation Sensors Imaging Security Communications Radar Space Satellites Space-based radar Critical control systems Launch vehicles Data processing Imaging Motor control Harsh environments High-temperature electronics Downhole drilling Jet engines Undersea communications TI HiRel offers a vast portfolio of ICs that provide extended temperature, enhanced processing and packaging for aerospace, defense and high-temperature applications. TI HiRel supplies a wide range of TI products to meet rugged and extreme environments. Process capabilities include QML Class Q and Enhanced Product (EP) for defense and avionics applications and QML Class V for space requirements. Pblead finishes are still available for customers needing continued support for nongreen applications. Pb solder ball replacement for commercial non-pb parts can also be provided. The DSP selection from HiRel includes ceramic hermetic packaging and QML versions from all the TI DSP generations. From the earliest TMS320C1x to the latest TMS320C6000 DSP devices, we continue to offer the best parts for defense and military applications. HiRel can support long design and production cycles and help alleviate obsolescence concerns. TI HiRel Enhanced Product offerings provide plastic packaging options for applications that require extended temperature, enhanced change notification and controlled baselines. TMS320C6000 DSP Platform Fixed Point SM320C6201B HiRel Fixed-Point Digital Signal Processor SMJ320C6201B HiRel Fixed-Point Digital Signal Processor SMJ320C6203 HiRel Fixed-Point Digital Signal Processor SMJ320C6415 HiRel Fixed-Point Digital Signal Processor SM320DM642-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor SM320C6455-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor SM320C6414-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor SM320C6415-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor SM320C6416-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor SM32C6416T-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor SM320C6201-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor SM320C6202-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor Floating Point SMJ320C6701 HiRel Floating-Point Digital Signal Processor SM320C6701-EP HiRel Enhanced Product Floating-Point Digital Signal Processor SM320C6711D-EP HiRel Enhanced Product Floating-Point Digital Signal Processor SM320C6712D-EP HiRel Enhanced Product Floating-Point Digital Signal Processor SM320C6713B-EP HiRel Enhanced Product Floating-Point Digital Signal Processor TMS320C5000 DSP Platform SMJ320LC549 HiRel Fixed-Point Digital Signal Processor SMJ320VC5416 HiRel Fixed-Point Digital Signal Processor SM320VC5510A-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor SM320VC EP HiRel Enhanced Product Fixed-Point Digital Signal Processor SM320VC5409-EP HiRel Enhanced Product Fixed Point Digital Signal Processor SM320VC5421-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor TMS320C2000 Microcontrollers SM320F2812 HiRel Fixed-Point Digital Signal Controller SMJ320F240 HiRel Fixed-Point Digital Signal Controller SM320F2812-EP HiRel Enhanced Product Digital Signal Controller SM320F2801-EP HiRel Enhanced Product Digital Signal Controller SM320F2808-EP HiRel Enhanced Product Digital Signal Controller SM320LF2407A-EP HiRel Enhanced Product Digital Signal Controller Other Platforms Available in QML Ceramic SMJ320C15, SMJ320C25, SMJ320C3x, SMJ320C40, SMJ320C50, SMJ320C80 DSPs QML-V Space-Level DSP SMJ320C6701-SP Rad-Tolerant Class V, Floating-Point Digital Signal Processor

106 104 System Solutions Industrial Industrial Computing Solutions Get additional information at: Targeted Applications Human-machine interface touchscreen panels Operator interface panels Patient monitors Networked displays Self-service kiosks Electronic cash registers Portable data terminals Electronic point of sale (EPOS) terminals EPOS accessories Digital signage Programmable automation controllers Programmable logic controllers Distributed control systems Industrial communications Power protection Power management Test equipment Machine vision For More Information Please refer to product information for the OMAP-L1x platform on page 42 or the OMAP35x platform on page 39. Industrial Computing Solutions Overview The OMAP-L1x and OMAP35x platforms of processors incorporate low power and scalable performance enabling many industrial applications including programmable automation controllers, human-machine interface panels and EPOS terminals. OMAP-L1x Overview The OMAP-L1x platform offers single-chip ARM +DSP products with a rich set of peripherals including SPI, UART, I 2 C, USB 2.0, 10/100 Ethernet, parallel port, LCD display output and many more. The 300-MHz performance of the ARM9 enables applications requiring either highlevel OS like Linux or Windows Embedded CE (Win CE) as well as multiple real-time operating systems from third parties. The OMAP-L1x SoC devices include the industry s lowest power fixed-/floating-point DSP, the C674x DSP core. The C674x DSP combines the TMS320C64x+ fixedpoint and TMS320C67x+ floating-point DSP cores into a single core, which enables the use of high-precision signal-processing algorithms in combination with control functions running on the ARM9 core. This high level of integration leads to reduced board space and overall system cost. OMAP-L1x products are well suited for low- to mid-end programmable automation controllers, test and measurement equipment, and point-of-service accessories like signature pads, currency readers and RFID readers. OMAP35x Overview For higher-performance applications with feature-rich GUI requirements, the OMAP35x applications processors incorporate the industry s first ARM Cortex -A8 CPU with the TMS320C64x DSP and POWERVR SGX graphics acceleration capabilities. Industrial end equipments like control panels, self-service kiosks, electronic cash registers, etc. can take advantage of the OMAP35x processors supporting both Linux and WinCE operating systems. Various real-time operating systems are also supported on OMAP35x and are available through third-party companies. The first generation of the OMAP35x platform has four pin-compatible product options. The next generation of OMAP35x processors will incorporate 10/100 Ethernet MAC as well as CAN controllers to address industrial connectivity requirements. Performance/Integration Device Production Sampling In Development Future Cortex-A8 up to 600 MHz SDRAM/LPDDR EMIF USB 2.0, SDIO Up to 300 MHz SDRAM EMIF USB 2.0, 10/100 Ethernet PWM, ecap, eqep OMAP3530 A8+DSP+ Graphics OMAP3525 A8+C64x DSP OMAP3515 A8+3D Graphics OMAP3503 Cortex -A8 OMAP-L137 ARM9+C674x DSP Cortex-A8 ARM9 OMAP351x A8+3D Graphics OMAP350x Cortex-A8 OMAP-L138 ARM9+C674x DSP Cortex-A8 up to 600 MHz SDRAM/LPDDR EMIF CAN, 10/100 Ethernet USB 2.0, SDIO Up to 300 MHz mddr/ddr2 EMIF USB 2.0, 10/100 Ethernet SATA, SDIO, upp PWM, ecap, eqep Industrial computing solutions roadmap Time

107 System Solutions Industrial 105 Digital Control Systems Solutions Get additional information at: Targeted Applications Green energy Solar inverters Wind turbines Fuel cells Digital power supplies Telecom rectifiers and complex DC/DC converters Server rectifiers Industrial power supplies HDTV power supplies DC/DC modules Uninterruptible power supplies (UPS) Lighting LED billboards Streetlight control and networking Home appliances Washer drives Compressor motor Induction cooktops Industrial control Variable-speed AC drives Soft starters Process control Servo control Stepper motor control Automotive Radar for blind spot detection, lane departure warning and automatic cruise control Electric power steering Wiper control HVAC blowers Medical CPAP motor control Patient transport Blood analysis Metering Power line modem for electricity automated meter infrastructure Flow meters Industrial Solutions Overview The TMS320C2000 Microcontroller family combines advanced control peripherals with the processing power of a 32-bit core. C2000 MCUs are ideal for embedded industrial applications such as digital motor control, digital power supplies and intelligent sensor applications. Digital Control Overview TI offers 32-bit performance and advanced peripherals that allow designers of digital motor control, digital power supplies and advanced sensing systems to quickly and easily implement advanced, cost-efficient control solutions. Our broad portfolio of control-optimized processors provides the industry s highest performance and on-chip integration to drive system cost down to unprecedented levels. The unique combination of processing power, interrupt handling capability, controlspecific peripheral integration and high C efficiency deliver substantial benefits to control systems. These benefits, such as improved system efficiency and added Performance Device Production Sampling In Development Future F281x 150 MIPS KB Flash 12.5 MSPS ADC QEP F281x F282x 150 MIPS KB Flash 16-ch, 12-bit ADC HiRes PWM, QEP F280x F282x F280x MIPS KB Flash 16-ch, 12-bit ADC HiRes PWM, QEP Digital control solutions roadmap Delfino F2833x 150 MIPS/300 MFLOPS KB Flash 16-ch, 12-bit ADC HiRes PWM, QEP performance for innovation, are delivered with fewer external components and reduced system costs in amazingly small packages great for space-constrained applications. TI is focused on the digital control systems designers needs and is continuing to develop solutions that serve the market. With more than 20 years of experience in processor-based control applications and a worldwide team dedicated to providing progressive embedded control solutions, we offer systems expertise, easy-to-use development tools, an extensive silicon range and unlimited support to get your product to market faster. Floating-Point Series F2833x Piccolo F2802x F2802x MIPS KB Flash 13-ch, 12-bit ADC HiRes PWM Single 3.3-V supply C2834x 300 MIPS/600 MFLOPS KB SRAM HiRes PWM, QEP Next Generation C2834x Series F2803x Code-compatible solutions scaling from 40 MHz to 300 MHz Next Generation F2803x 60 MIPS + CLA KB Flash 16-ch, 12-bit ADC HiRes PWM, QEP Single 3.3-V supply

108 106 System Solutions Industrial Digital Motor Control Systems Solutions Get additional information at: Digital Motor Control Overview TMS320C2000 microcontrollers reduce the overall cost of motor control systems by providing the integration and performance necessary to implement advanced control techniques such as sensorless vector control of three-phase motors. Using the more processor-intensive sinusoidal control, for example, allows developers to reduce the size and cost of the motors and power electronics required to meet their needs. To fully capitalize on the capabilities of the latest advancements in motor designs and control techniques, engineers are turning their focus to C2000 microcontrollers. TI provides a free library of motor control software systems that are tailored to various control techniques and motor types. Each of these systems is a complete project developed for the Code Composer Studio Integrated Development Environment. Each is built upon our foundational motor control library software modules and includes complete documentation with step-by-step instructions to guide engineers through the process of bringing up a motor control system. Each system comes with complete C/C++ source code. Motor-Specific Software Solutions System Motor Type Sensored Sensorless Description C24x Controller C28x Controller Tacho I/P ACI1-1 1 ph AC Induction VHz / sinepwm/ closed loop (CL) speed PID Tacho I/P ACI3-1 3 ph AC Induction ACI3-2 3 ph AC Induction ACI3-3 3 ph AC Induction ACI3-4 3 ph AC Induction PMSM3-1 PMSM3-2 PMSM3-3 3 ph Permanent Magnet Synch 3 ph Permanent Magnet Synch 3 ph Permanent Magnet Synch 3 ph Permanent VHz / sinepwm / CL speed PID MRAS (speed estimator) VHz / sinepwm / CL speed PID Tacho I/P FOC / sinepwm / CL current PID for D, Q / CL speed PID Direct flux estimator + speed estimator FOC / sinepwm / CL current PID for D, Q / CL speed PID QEP FOC / sinepwm / CL current PID for D, Q / CL speed PID SMO (sliding mode observer) position estimator FOC / sinepwm / CL current PID for D, Q / CL speed PID Resolver / FOC / CL current PID for D, Q / CL speed PID PMSM3-4 Magnet Synch QEP / FOC / position control BLDC3-1 3 ph Trapezoidal 3 Hall effect I/P Brushless DC Trapezoidal / CL loop current PID / CL speed PID BLDC3-2 3 ph Trapezoidal BEMF / Zero crossing detection Brushless DC Trapezoidal / CL loop current PID / CL speed PID DCMOTOR Brushed DC Speed & position / QEP without index All Motor Types Component modules for motor-specific applications Digital Motor Control Library and Motor-specific software downloads available today, free of charge, that allow designers to develop solutions for both sensored and sensorless control systems.

109 System Solutions Industrial 107 Digital Power Systems Solutions Get additional information at: Digital Power Overview Digital control of power conversion systems results in lower overall cost due to the consolidation of functions into a single programmable controller in place of dedicated discrete components. A single TMS320C2000 microcontroller can provide full loop control at over 2-MHz switching frequencies or control multiple output levels and simplify the sequencing of multiple supplies through software rather than dedicated components. A software-based solution enables intelligent monitoring of load conditions in real time and can lead to improved system reliability, efficiency and operating costs. C2000 microcontrollers provide both isolated and non-isolated solutions from AC-line to point-of-load and are suitable for applications such as uninterruptible power supplies, servers, telecommunications, solar inverters and industrial equipment. The C2000 controllers connect easily with TI s UCD7000 series of digital power drivers to interface with the actual power stage. TI provides a free library of power conversion software systems that are tailored to different power conversion topologies, including DC/DC buck and phase-shifted full-bridge, along with power factor correction modules. TMS320C280xx Digital Power Software Solutions System Description Part Number DC-DC Buck Converter DC-DC buck converter using high-resolution epwm SPRC229 High-Resolution epwm Demonstrates HRPWM capabilities for digital power applications SPRC227 Standard epwm Demonstrates epwm capabilities for digital power applications SPRC228 Power Factor Correction Power factor correction software SPRC307 DC-AC Single-Phase Inverter DC-AC single-phase inverter software SPRC303 Phase-Shifted Full-Bridge DC/DC Phase-shifted full-bridge DC/DC software SPRC311 V AC V RECT I PRI V BOOST V OUT PWM1 PWM7 Filter T1 PWM T2 PWM PWM2 PWM8 I PFC Diode Clamp Diode Clamp V OUTPUT I pha I phb Microcontroller ADC I/O TMS320F28xx Microcontroller COMMS PWM CAN Bus or PM Bus Telecom rectifier (DC-DC converter) example

110 108 System Solutions Industrial Power Line Communications Systems Solutions Get additional information at: Power Line Communications Overview Power Line Communications (PLC) transmits data over an existing high-voltage power line instead of requiring dedicated cabling. PLC can thus provide an inexpensive solution for transmitting data in a pre-wired location. TMS320C2000 microcontrollers are an ideal platform for power-line networked applications such as electricity metering, office lighting automation and factory automation because their performance of up to 150 MIPS, large on-chip memory and integrated peripheral interfaces provide a single-chip solution for the PLC as well as additional control functions. TI has developed a freely-available PLC software library and hardware reference design for data throughput speeds up to 5 kbps without crossing an isolation transformer (contact your local TI salesperson). For a higher speed and commerciallyhardened system, we recommend our partners at Adaptive Networks, Inc. ( Adaptive Networks deploys systems that provide up to 100-kbps throughput and offer a range of several kilometers. Power Line Communications Technical Documentation Application Note Web Search Literature # TMS320C2000 Microcontroller Power Line Communication SPRU714 User s Guide Check the TI website for a complete listing of technical documentation including application notes. Power Line ADC TM C28x Microcontroller Power Stage PWM CAN 2 I C UART SPI McBSP GPIO PWM ADC Product Functionality Power line communications implementation

111 System Solutions Industrial 109 Solar Inverter Systems Solutions Get additional information at: Solar Inverter Overview There is an emerging concern for future access to energy at the worldwide level. Alternative solutions to fossil fuels have been investigated and are moving to fully industrialized production processes in regions across the globe. One of the most widespread alternative energy sources is solar, and many innovative companies have decided to focus their research and development, as well as manufacturing activities, on the delivery of photo-voltaic (PV) systems. This includes high-performance solar inverters for electrical utilities, commercial buildings and individual residences. The inverter is a critical part of the entire solar energy system. It performs the conversion of the variable DC voltage output of the PV cells into a clean, sinusoidal 50- or 60-Hz current suitable for supplying the commercial electrical grid or local electrical networks. The TMS320C2000 microcontroller family is the best response to the realtime challenges of the many possible implementations of solar inverters. The 32-bit CPU of the TMS320C28x core running at a maximum frequency of 150 MHz effectively executes the very precise algorithms required to operate the panels at their maximum power point, and thus ensure the highest efficiency of the power conversion, even in the most severe and variable conditions. The drive of the main bridge of the DC/AC converter is performed by the highly flexible PWM modules of the C2000 devices, and is combined with the use of the on-chip, high-speed 12-bit ADC, to perform the current and voltage regulation required to achieve the most regular sinusoidal waveform. AC Grid Power Stage Cell voltage Cell temperature Ambient temperature Metering counter A/D Converter DC-DC Conversion DC-DC Conversion DC-AC Conversion Metering Housekeeping Control Functions C2000 Microcontroller PWM CAN / Serial Software Solar inverter example Communications

112 110 System Solutions Medical Low-Power Medical Processor Solutions Get additional information at: Targeted Applications Diagnostic, patient monitoring and therapy Electrocardiogram (ECG/portable ECG/heart-rate monitor) Electroencephalogram (EEG) Fetal monitoring Remote (wired and wireless) patient monitoring Data logging Blood oxygen (pulse oximetry) and other blood gas analyzers Digital stethoscopes Automated external defibrillator (AED) and defibrillators CPAP and BIPAP therapy devices Ventilation/respiration Point-of-care testing TI Medical Solutions Benefits Programmable solution accommodates customer s ever-changing IP in medical algorithm implementation and drives faster time to market High performance at low power enables portable medical applications Higher integration and extensive peripheral support reduces system cost Extensive roadmap with ARM -only, ARM-based GPP and DSP and DSPonly architectures creates scalability and better differentiation Medical Diagnostics and Patient Monitoring Overview TI s complete end equipment solutions for medical applications leverage our product expertise in microcontrollers, Digital Signal Processors (DSPs), SoCs (System-on-Chip devices) and high-performance analog for consumer medical and diagnostic patient monitoring systems. Digital signal processing technology enables medical manufacturers to develop costeffective, state-of-the-art diagnostic and patient-monitoring instruments based on advanced DSP algorithms and bring these products to market quickly. Signalprocessing algorithms are used for signal conditioning, performing measurements, running analytics on measurements to determine the health condition and graphical display of this measured data. Being able to perform such processing at low power is increasingly important for a variety of portable and small-factor medical and consumer devices. Low-power TMS320C55x DSP-based SoC devices provide the processing performance and peripherals to support such low-power applications. For processing-intensive applications, TMS320DM644x DSPs provide the processing performance required. User control and interaction is becoming more and more important as medical devices become increasingly sophisticated. Low-power ARM -based generalpurpose processors are extremely useful in this aspect. TI has several ARM-based solutions in this area, including members of the TMS320DM3x, OMAP-L1x and OMAP35x platforms. Furthermore, DSPbased solutions, such as C55x DSPs, have an extensive peripheral set and can perform significant user control and interaction for portable systems without the need of an ARM-based processor. The ever-increasing need to minimize healthcare costs is driving remote patient monitoring and telemedicine. These complex yet portable systems need both ARM and DSP processing. For reduced form factor and lower power, it is desirable to have a single-chip solution which has these cores. OMAP and DaVinci architectures employ this dual-core architecture enabling optimized system partitioning and performance. With TI s extensive portfolio and roadmap, customers have the freedom to choose an ARM-only, DSP-only or ARM+DSP solution. TI also provides an extensive set of analog, low-power wireless and connectivity solutions to complement the low-power medical processors for portable remote patient-monitoring applications. Increasing DSP Performance C55x C6000 ARM Highest DSP Performance with ARM DaVinci DM644x ARM-Based GPP High-Performance ARM + DSP Low-Power DSP OMAP35x DM3x OMAP-L1x OMAP35x C5000 Up to 600-MHz DSP processor Up to 300-MHz ARM9 processor Video acceleration Up to 600-MHz ARM Cortex -A8 Up to 450-MHz TMS320C64x+ DSP Extensive power management, vector floating point, GFX Patient monitoring systems, AED 270-MHz ARM9 or 600-MHz Cortex-A8 Very low power Lower cost Patient monitoring systems, AED Based on TMS320C55x DSP Extensive power management AED, pulse oximetry, digital stethoscopes, ECG, EEG, patient monitoring, audiology Low-power medical processor families

113 System Solutions Medical Imaging 111 Medical Imaging Solutions Get additional information at: Targeted Applications Ultrasound Digital x-ray Magnetic resonance imaging (MRI) Bone densitometer Endoscopy Hyperspectral imaging Optical coherence tomography (OCT) Medical digital video recorder (DVR) Computed tomography (CT) Positron emission tomography (PET) Additional Information For more information on TI components that might be useful in your application, download TI s Medical Applications Guide (SLYB108B) at Medical Imaging Systems Overview Advances in imaging technologies have led to extraordinarily powerful medical imaging equipment, yielding faster and more accurate diagnosis and treatment. This advancement is aided by highperformance DSPs. TI s high-performance DSP cores are ideal for meeting real-time requirements in imaging modalities such as ultrasound, while the high-bandwidth interfaces and ability to connect multiple DSPs together ensure that there will be enough processing power available in your medical-imaging system. As the algorithms continue to advance, TI s programmable platforms allow for quick adoption and speed time to market. DSP technology is also at the forefront of another amazing trend in medical imaging products: the trend to go portable. As doctors and care givers endeavor to reach more people, creating smaller, more portable medical equipment is a must. TI s OMAP and DaVinci architectures are designed for low-power, portable applications enabling medical imaging equipment, such as hand-carried ultrasound units, to be powerful yet power efficient. TI s SoC solutions utilizing embedded high-performance DSP and ARM processors enable smaller end-product foot prints and reduce system boot times while enabling image processing, high-level operating systems, user interface and control functions at low power for extended battery life. TI provides complete signal chain solutions for your medical imaging needs. In addition to DSPs, TI s medical product portfolio includes amplifiers, clocks, data converters, temperature sensors, interface logic, microcontrollers, power management and RF ICs. TI Medical Imaging Solutions Benefits High-performance DSPs enable latest imaging algorithms while allowing room for product differentiation Programmable solution and range of software-compatible processors provides scaleable imaging platforms, saving redesign Broad range of high-performance cores and highly integrated SoCs Easy-to-use software and tools get you to market faster Imaging Segment High Performance Portable Software Compatible Device Production Sampling In Development Future Multi-Core DSPs Single-Core DSPs System-on-Chips (SoCs) C GHz C GHz DM6446 C GHz C MHz DM648 OMAP3530 DM6437 Multi-Core Next C GHz DM64x Next High-Performance Imaging Diagnostic ultrasound Digital x-ray MRI, CT, PET Back-end imaging C64x Next Portable Imaging System Diagnostic imaging Portable ultrasound Medical DVR Endoscope Performance leadership Best-in-class development tools Code compatibility Technology innovators Medical imaging processor families Time

114 112 System Solutions Portable Medical Portable Medical Solutions Get additional information at: Targeted Applications Blood glucose meter Digital thermometer Heart-rate monitor Pulse oximeters Environmental sensors Industrial sensors Handheld meters Additional Information The new MSP430FG47x MCUs provide ultra-low power, high performance and analog peripherals for efficient development of low-cost medical and sensor applications. The FG47x MCUs offer on-chip integration of the complete signal chain, reducing design complexity and resulting in significant space and cost savings. These devices will help developers improve the quality and accessibility of healthcare through products such as blood glucose meters, digital thermometers, pulse oximeters and blood pressure/heart rate monitors. The F47x MCUs are also available to support key applications in the industrial, consumer and other general-purpose areas. TI s full range of embedded processing and analog solutions help designers create more flexible, affordable and accessible medical devices. These solutions offer consumers improved diagnostics through integration and increased intelligence, multi-function devices that simplify treatment, and enhanced portability for easier monitoring and treatment of chronic conditions. For more information, visit Portable Medical Systems Overview As portability becomes a growing trend in medical products, manufacturers are seeking technologies that reduce the design complexity and time in developing the finished product. In most of these medical equipments, the actual physiological signals are analog and require signal conditioning techniques, such as amplification and filtering, before they can be measured, monitored or displayed. The MSP430 microcontroller offers a platform of ultra-low power processors with the high integration of the complete signal chain that is required for applications such as personal blood pressure monitors, spirometers, pulsoximeters and heart-rate monitors. TI Portable Medical Solutions Features Complete signal chain integrated on chip 16-bit Sigma-Delta ADC for applications that require high-resolution signal conversion 128-segment LCD driver with contrast control for convenient diagnostic display Flexibility from multiple memory options Enhanced portability with ultra-low power consumption of the MSP430 MCUs, extending battery life to 20+ years Integrated intelligent peripherals on MSP430 MCUs provide high performance and consume no power when not in operation Two package options to meet various printed circuit board (PCB) requirements MSP430 Devices Optimized for Portable Medical Part Number Description MSP430FG bit ultra-low power MCU, 32-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (2) 12-bit D/A, OpAmp, 128-seg LCD MSP430FG bit ultra-low power MCU, 48-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (2) 12-bit D/A, OpAmp, 128-seg LCD MSP430FG bit ultra-low power MCU, 60-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (2) 12-bit D/A, OpAmp, 128-seg LCD MSP430F bit, ultra-low power MCU, 32-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (1) 12-bit D/A, 128-seg LCD MSP430F bit, ultra-low power MCU, 48-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (1) 12-bit D/A, 128-seg LCD MSP430F bit, ultra-low power MCU, 60-KB Flash, 2 KB RAM, 16-bit Sigma-Delta A/D, (1) 12-bit D/A, 128-seg LCD FG47x MCUs are available and fully compatible with the MSP-FET430U100 and the MSP-FET430UIF flash emulation tool kits, speeding development and time to market. See all MSP430 Development Tools at MSP430FG47x/47x Microcontroller Peripherals Comparator A 2x Op amps (FG47x only) RTC 128-segment LCD driver Serial Interface 2 x USCI_A ( UART/SPI/lrDA) 2 x USCI_B (I2C/SPI) Converters 16-bit Sigma Delta A/D converter A Up to 2x 12-bit D/A converter Memory 32/48/60 kb Flash 2kBRAM Debug JTAG w/2 breakpoints Embedded emulation Boot strap loader Timers Connectivity Power and Clocking Brownout reset Supply volt supervisor 16-bit timer A3, with 3 CC registers 16-bit timer B3, with 3CCw/ shadow registers 48 I/Os FLL+: LFXT1CLK XT2CLK 15-bit watch dog timer 16-bit basic timer DCO FLL MSP430FG47x/47x microcontroller block diagram

115 System Solutions Smart Metering 113 Smart Metering Solutions Get additional information at: TI s comprehensive utility metering portfolio includes devices targeted at electricity, water and gas metering, as well as power line communications (PLC) and radio frequency (RF) interfaces for automated meter reading (AMR) that allow customers to design smart metering solutions. As part of a smart solution, previously independent systems like meters, home thermostats and large appliances will communicate wirelessly or over existing power lines to help consumers make more informed choices about electricity. Targeted Applications Smart electricity meter Water and gas meter Programmable communicating thermostat (PCT) Demand response unit (DRU) In-home display (IHD) Pre-payment systems Faster Time to Market Globally deployed metering knowhow and products Comprehensive end-to-end systemon-chip (SoC) solutions Innovation and expertise across a robust portfolio, covering all the major functions of the meter Flexibility Complete portfolio of hardware, software and SoCs tailored to functional requirements Future-proof solutions Upgradeable in-system programmable Flash to support evolving worldwide standards Available standards include IEC, ANSI, ZigBee, Wireless, M-Bus, PLC Scaleable families of solutions to allow optimization of system requirements TI s Broad Portfolio Drives Smarter Metering Solutions Designed specifically for the demands of utility metering applications, TI s MSP430 ultra-low power microcontroller is the perfect combination of ultra-low power and high-performance analog integration. MSP430 MCUs offer devices for one- to three-phase electricity, water and gas metering, as well as radio frequency wireless interfaces for automated meter reading (AMR). Electricity Metering An advanced electronic electricity meter requires an MCU that offers precise voltage and current conversion over a wide dynamic range, programmable Flash, nonvolatile storage, real-time clock function, flexible display and AMR-enabled communications features. The MSP430 family of electricity-metering devices was designed for these demands. The family offers up to four 16-bit independent sigma-delta converters and programmable gain amplifiers along with specific integrated e-metering modules such as the ESP engine or hardware multiplier allowing for easy, highperformance energy-metering calculations. Low-Cost MSP430FE47x2 MCUs Reduce Component Count for Electricity Metering By integrating an ESP energy calculation engine with 2 16-bit sigma-delta ADCs, 128-segment LCD driver, up to 32 KB of Flash memory and 1 KB of RAM, and a real-time clock, the MSP430FE47x2 series of ultra-low power MCUs enable a five-to-one reduction in system components. This high integration makes it an ideal solution for single-phase metering applications. Flow Metering Gas or Water MSP430 devices are ideal for the ultralow power consumption required in flowmetering applications. The scan interface (SIF) peripheral module available on the MSP430FW42x series of devices is an innovative way to measure rotation of a mechanism. Its advantages lie in its power efficiency and flexibility, operating while the CPU sleeps. It can operate with a variety of sensor types and both rotational and linear motion also can be measured. Automated Meter Reading Essentially like a two-way remote control, an electronic meter with an AMR solution reads billing information and performs meter diagnostics automatically and communicates that information either wirelessly or over existing power lines back to the utility. MSP430 s versatile portfolio of integrated communication modules allows for easy AMR-enabled solutions. TI Smart Metering Solutions Benefits Faster time to market Flexibility Contactless radio frequency technology transforming smart meters with secure pre-payment Recommended Embedded Processing Devices for Metering Applications MSP430 MCUs TMS320C2000 MCUs MSP430FE427A TMS320F280x MSP430FW427 TMS320F2802x MSP430F427A TMS320F2803x MSP430F4794 MSP430F47187 MSP430F5xx

116 114 System Solutions Telecom Telecom Systems Solutions Get additional information at: Targeted Applications Wireless infrastructure Cellular wireless base stations (Macro, Micro, Pico and Femto base stations) Broadband wireless base stations (WiMax, McWill, others) BSC, RNC and core network IMS (video, data, voice) Public safety mobile system (TETRA, etc.) Terminal positioning Base station backhauls communication Wireless repeater Wired telephony Infrastructure telephony (enterprise, gateway, SOHO) Client-side telephony for home networking (voice/data access points) Remote data collection Industrial monitoring systems Full-duplex speakerphones SMS/MMS phones Video phones, video conferencing Intelligent phones Wireless terminal (excluding handsets) Broadband wireless access boxes Military/police wireless communication device Digital pagers Mobile Internet Device (MID) Amateur radios Telecom Systems Overview Telecom applications require the processing power to handle a wide variety of data, voice, telephony and connectivity functions. Using TI programmable DSPs, you can easily expand product capabilities and feature sets to match the demands of your design. In addition, you can customize, adapt and scale the DSP-based design to suit your particular requirements and focus on end-product differentiation. TI offers complete DSP-based solutions for remote data collection, Internet connectivity, telephony co-processing and voiceband processing client-side telephony applications. These solutions provide hardware including power-efficient/small Segment Device Production Future C641xT 850M/1 GHz C641xT 600/720 MHz C641x C55x 600/720 MHz 300 MHz C55x 200 MHz C54x 100 MHz C54x 200 MHz C6455/57 1.0/1.2 GHz C6454/55 720/850 MHz C /900 MHz C54x 300 MHz form-factor DSPs as well as analog front ends. In addition, comprehensive software is provided supporting industry standards as well as flexible software building blocks that accelerate the design process from concept through production. These complete solutions significantly cut power consumption, reduce development time, minimize board space and enable multi-function applications, making a new class of Internet-access devices possible. When you combine the processing power, high integration and flexibility with their low price points and ease of use, TI DSPs are a perfect fit for telecom applications. C GHz C64x Next C64x+ Next C55x Next C55x Next Infrastructure Telecom Wireless infrastructure Infrastructure telephony Wireless broadband Base station backhaul Public safety mobile system Client Wireless Broadband wireless WiMAX Digital amateur radios GPS Head sets Military wireless terminals PHS data modems Police wireless terminals Radios Client Wired Advanced phones Faxes Full-duplex speakerphones Hands-free kits Modems Point of sale Remote data collection Telecom: TI s telecom solutions include high-performance and low-power DSPs. Remote data collection, analog front ends, comprehensive software supporting industry standards and development tools which significantly cut power consumption, reduce development time, minimize board space and enable wired and wireless data, voice, telephony and connectivity functions. Time Telecom solutions roadmap Telecom accessories Hands-free kit Bluetooth headset

117 System Solutions Video and Imaging 115 Video and Imaging Systems Solutions Get additional information at: Targeted Applications Automotive infotainment Automotive video sensing Automotive vision Broadcast systems Cable head-end video equipments (routers) Digital camcorders Digital cameras Digital media adapters Digital photo frames Digital signage Digital TV and server head-ends Digital video recorders IP network cameras IP-based video-conferencing endpoints IP-based video phones IP set-top boxes Hard-copy appliances Machine vision Media encoder/decoder appliances Media gateways Medical imaging Network projector Personal video recorders Portable media players Professional-grade video broadcast equipment Robotics Security recording systems Streaming video appliances Video broadcast transcoding Video-conferencing, multi-point conference units (MCUs)/gateways Video infrastructure Video jukeboxes Digital video security recorders (DVRs) Video surveillance cameras Webpads Wireless cameras Video and Imaging Systems Overview As the video and imaging markets continue to evolve with new functionality requirements and multiple emerging video standards, developers need to adapt designs with the right balance of processing performance, power consumption and system flexibility. Portable and plugged applications need different levels of power consumption and support for real-time video processing such as video coding, transcoding, transrating and video/imaging instruction sets. TI s processors provide the processing performance and programmability other processors are unable to provide. TI offers a large product portfolio including hardware, software and integrated system solutions that are perfect for a variety of digital video applications. TI s large Segment Device Production Sampling In Development Future DM64x DM644x DM643x DM64x DM6441 DM GHz DM647/8 DM355 DM GHz DM647/8 Video and imaging solutions roadmap DM6467T DM6467 DM357 DM6467T DM6467 DM Next Time DM365 processor portfolio for digital video applications includes: DaVinci Digital Media Technology (see page 24) TMS320C6000 DSP Platform (see page 11) TMS320C5000 DSP Platform (see page 5) OMAP Platform (see page 39) Numerous application development kits are available to get designs off the ground quickly. These kits provide hardware and software at a variety of integration levels and price points to handle real-time performance, channel density, simultaneous processing of video, audio/voice and data streams across both wired and wireless networks. DM64x+ Next DM Next DM64x+ Next DM3x+ Next Infrastructure Video Cable head-end video systems Multi-channel security DVRs Professional-grade broadcastquality systems Video-conference MCU/ gateways Client Video IP-based video phones IP set-top boxes Media encoder/decoder appliances Networked PVRs IP video surveillance IP video-conferencing Portable Video Digital still cameras Digital camcorders Gaming Multimedia jukeboxes PDAs Portable medical

118 116 System Solutions Video and Imaging Additional Resources Video/Imaging Online Information A wealth of video/imaging information awaits you at Whether you need details about any of TI s vast array of devices or block diagrams regarding various end equipments or links to selection guides, solutions guides and application notes, you ll find it here. You ll also find access to: Development boards and EVMs Various system block diagrams White papers TI device information Free Digital Media Focus enewsletter Video/imaging events around the world News releases Check out TI s video/imaging applications page today. And, bookmark it for the future. VICP Signal-Processing Library Improves Performance Capabilities Texas Instruments VICP signal-processing library is a collection of highly tuned software algorithms that execute on the VICP hardware accelerator. The library allows the application developer to effectively utilize the VICP performance without spending significant time in developing software for the accelerator. The availability of well-tested, performance-tuned algorithms with the VICP signal-processing library significantly reduces the application development time. The freed-up MIPS on the DSP enable the application developers to include more differentiation features in the final application. The VICP hardware accelerator is a parallel MAC engine. Due to its flexible architecture, the accelerator is very effective in enhancing DSP performance by taking over execution of varied computationally intensive tasks. VICP supports various algorithms to enable additional DSP resource: Matrix operations/array operations: Ex: Matrix multiplication/transpose. Block add/average/variance Ex: Array multiplication/addition/ fillmem. Array scalar operations Ex: Look-up table Digital signal processing operations: Ex: 1D, 2D FIR filtering Ex: Convolution, correlation Digital image and video processing functions: Ex: Alpha blending, color space conversion Ex: Image rotation, image pack/ unpack Ex: Median filtering Video and Imaging Guide Explore TI s solutions for a wide variety of video and imaging applications in this comprehensive guide. TI s Video and Imaging Guide contains valuable video and imaging information for your design needs. Find out everything you need to know in this complete guide that includes system block diagrams, product information, development tools, software, support and various other resources. VLIB 2.0: Video Analytics & Vision Library TI s Video Analytics & Vision Library (VLIB) is a collection of software kernels that are commonly used in automotive-vision and video-security applications. The kernels are optimized for both C64x and C64x+ DSP cores and can accelerate software development and enable performance improvements up to 10 over standard C code on TI s DSPs. The VLIB 2.0 now consists of 50+ royalty-free software kernels including background modeling and subtraction, object feature extraction, tracking, recognition and low-level pixel processing as well as Simulink blocks for each function and the bit-exact version for PC testing capabilities.

119 Video and Imaging Development Tools TI has a number of hardware/software development tools that can be used for a variety of digital video designs. Digital Video Evaluation Modules (DVEVMs) are comprised of both hardware System Solutions Video and Imaging and software, and enable developers to start instantaneous evaluation of DaVinci processors. 117 TMS320DM365 Digital Video Evaluation Module (DM365 DVEVM) The TMS320DM365 Digital Video Evaluation Module (DVEVM) includes both hardware and software enabling developers to start immediate evaluation of the DM365 processor and begin TMS320DM365 Digital Video Evaluation Module building digital video applications such as IP security cameras, digital photo frames, digital signage, video doorbells and portable digital video products that have yet to be invented. DVEVMs come complete with a demo version of MontaVista Linux Pro 5.0, drivers, evaluation codecs and an evaluation board. Registered DVEVM users may download TI s HD H.264, MPEG-4, JPEG, MP3 and G.711 production codecs free of charge from the DaVinci software updates site. The DVEVM allows developers to write production-ready application code for the ARM and provides access to the HMJCP coprocessor core using DaVinci APIs to begin immediate application development for DM365 digital media processors. The Digital Video Software Production Bundle (DVSPB) MontaVista Linux companion product is recommended for production. For more information, contact your authorized TI distributor or visit TMS320DM357 Digital Video Evaluation Module (DM357 DVEVM) The TMS320DM357 processor and DVEVM take advantage of all the tools and support included in the DaVinci technology portfolio to help product developers save months of time. The application programming interfaces (APIs) common across DaVinci offerings also means that developers familiar with DaVinci technology or ARM development can quickly develop their products with virtually no learning curve. The TMS320DM357 DVEVM includes both hardware and software enabling developers to start immediate evaluation of the DM357 processor. DVEVMs come complete with a demo version of MontaVista Linux Pro 5.0, drivers, evaluation codecs and an evaluation board. Registered DVEVM users may download TI s JPEG and HD MPEG-4 SP production codecs including JPEG/MPEG-4 SP/H.263/H.264 BP/G.711 and free of charge from the DaVinci software updates site. For more information, contact your authorized TI distributor or visit TMS320DM357 Digital Video Evaluation Module

120 118 System Solutions Video and Imaging TMS320DM355 Digital Video Evaluation Module (DM355 DVEVM) The TMS320DM355 Digital Video Evaluation Module (DVEVM) includes both hardware and software enabling developers to start immediate evaluation of the DM355 processor. DVEVMs come complete with a demo version of MontaVista Linux Pro 4.0, drivers, Codec Engine, evaluation codecs and an evaluation board. Registered DVEVM users may download TI s JPEG and HD MPEG-4 SP production codecs and G.711 codec free of charge from the DaVinci software updates site. The DVEVM can be used for the development of digital video applications such as IP security cameras, digital photo frames, digital cameras, video doorbells, portable medical and portable digital video products that have yet to be invented. The DVEVM allows developers to write production-ready application code for the ARM and provides access to the MPEG/JPEG coprocessor core using DaVinci APIs to begin immediate application development for DM355 digital media processors. The Digital Video Software Production Bundle (DVSPB) MontaVista Linux companion product is recommended for production. TMS320DM355 Digital Video Evaluation Module For more information, contact your authorized TI distributor or visit TMS320DM6467 Digital Video Evaluation Module (DM6467 DVEVM) The TMS320DM6467 DVEVM includes both hardware and software enabling developers to start immediate evaluation of TMS320DM6467 Digital Video Evaluation Module the DM6467 processor. DVEVMs come complete with a demo version of MontaVista Linux Pro 4.0, drivers, Codec Engine, evaluation codecs and an evaluation board. The DVEVM can be used for the development of digital video applications such as surveillance digital video servers/recorders, media gateways, multi-point control units digital media adapters, set-top boxes and many other high-definition video applications. The DM6467 DVEVM allows developers to write production-ready application code for the ARM and provides access to the TMS320C64x+ DSP and HD-Video/Imaging Coprocessor core using DaVinci APIs to begin immediate application development for the DM6467 digital media processor. The Digital Video Software Production Bundle (DVSPB) MontaVista Linux companion product is recommended for production. For more information, contact your authorized TI distributor or visit For a complete listing of all of TI s video/imaging hardware and software development tools, please see the chart on the next page.

121 System Solutions Video and Imaging 119 Video and Imaging Hardware and Software Development Tools Description Part Number Price 1 Hardware Development Tool TMS320DM642 Digital Media Development Kit (DM642 DMDK) TMDSDMK642 (U.S. part number) 6,495 TMDSDMK642-0E (European part number) Evaluation Modules (EVMs) TMS320DM644x Digital Video Evaluation Module (DVEVM) TMDSEVM6446 2,495 OMAP35x Evaluation Module TMDSEVM3530 1,499 TMS320DM642 Evaluation Module (EVM) TMDSEVM642 1,995 TMS320DM6437 Digital Video Development Platform (DVDP) TMDSVDP TMS320DM648 Digital Video Development Platform (DVDP) TMDSDVP648 1,295 TMS320DM355 Digital Video Evaluation Module (DVEVM) TMDXEVM TMS320DM357 Digital Video Evaluation Module (DVEVM) TMDXEVM TMS320DM365 Digital Video Evaluation Module (DVEVM) TMDXEVM TMS320DM6467 Digital Video Evaluation Module (DVEVM) TMDSEVM6467 1,995 JTAG Emulators Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095 Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095 Spectrum Digital XDS510 USB Emulator TMDSEMUUSB 1,495 Blackhawk XDS560 JTAG PCI Emulator TMDSEMU560PCI 2,995 Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999 XDS560 USB Trace Emulator 2 TMDSEMU560T 9,995 Software Development Tools VICP Signal Processing Library (DM6446, DM6441, DM647 and DM648 processors) SPRC831 Free Code Composer Studio (CCStudio) IDE Platinum v 3.3 Development Tools Bundled with Annual S/W Subscription TMDSCCSALL-1 3,595 Supports C6000, C5000, C2000, DaVinci and OMAP processor platforms C6000, C5000, C2000, DaVinci, and OMAP processor CCStudio Development Tools Annual Software TMDSSUBALL 600 Subscription for Version 3.10 and higher Code Composer Studio IDE Free Evaluation Tools SPRC119 ( Free Includes C6000 DSP and DaVinci CCStudio IDE 120-Day Free Evaluation Tools 3 Video Analytics & Vision Library (VLIB) Free TMS320C62x DSP Image Library SPRC093 Free TMS320C64x DSP Image Library SPRC094 Free 1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are New tools are listed in bold red. advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 The XDS560 Trace is designed for use with trace-enabled digital signal processors. Currently the following processors are fully supported by trace: TMS320C6418, TMS320C6416T, TMS320C6415T, TMS320C6414T, TMS320C6413, TMS320C6412, TMS320C6411, TMS320C6410, TMS320DM648, TMS320DM647, TMS320DM643, TMS320DM642, TMS320DM641 and TMS320DM640 processors. 3 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker) and simulator all limited to 120 days. Video and Imaging Integrated Solutions For more information on TI s video and imaging solutions, go to

122 120 System Solutions Video and Imaging Power Management Products for the TMS320DM3x/DM644x/DM643x/DM64x DSP Generations Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com Suggested Texas Instruments Power Management Solutions for Battery-Powered TMS320DM3x/DM644x/DM643x Processors Core and I/O Voltages Synchronous Non-Synchronous Synchronous Non-Synchronous Input Controller Controller Integrated FET Integrated FET Multiple-Output Voltage LDO (External FETs) (External FET and Diode) Converter Converter Converter 3.3 V TPS75401 TPS40041 TPS64200 TPS62040 TPS V TPS75401 TPS40042 TPS64200 TPS62040 TPS54350 TPS65023 TPS V TPS40190 TPS40200 TPS5420 TPS5124 TPS V TPS5124 TPS40200* TPS5420* TPS54386 Dual-output controller *Due to Vout/Vin ratio core voltage may have to be stepped down from I/O voltage I/O supply 3.3 V, current up to 1 A Core supply down to 1.0 V, current up to 2 A Suggested Texas Instruments Power Management Solutions for Line-Powered TMS320DM3x/DM644x/DM643x/DM64x Processors Core and I/O Voltages Synchronous Non-Synchronous Synchronous Non-Synchronous Non-Isolated Isolated Input Controller Controller Integrated FET Integrated FET Power Power Multiple-Output Voltage LDO (External FETs) (External FET and Diode) Converter Converter Module Module Converter 3.3 V TPS75401 TPS40041 TPS64200 TPS54317 PTH04070 TPS V TPS75401 TPS40042 TPS40200 TPS54317 TPS54350 PTH04070 TPS65023 TPS V TPS40190 TPS40200 TPS54350 TPS54350 PTH08080 TPS5124 TPS V TPS40057 TPS40200* TPS5430* PTN78000* PTB78560* TPS5124 TPS V TPS40061 TPS40200* PTMA403033* Dual-output controller *Due to Vout/Vin ratio core voltage may have to be stepped down from I/O voltage I/O supply 3.3 V, current up to 1 A Core supply down to 1.0 V, current up to 2 A V IN VDCDC3 V IN VDCDC3 EN V IN 1F 4.7F V IN DSP_EN 10Ω V CC TPS F 4.7kΩ 4.7kΩ VINDCDC1 SCLK 10F SDAT VINDCDC2 INT 10F 100kΩ VINDCDC3 VDCDC1 10F L1 VIN_LDO 2.2H 22F 100kΩ LOW_BATT VDCDC2 PWRFAIL_SNS L2 2.2H 22F LOWBAT_SNS HOT_RESET LDO1 TRESPWRON 2.2F 1 nf DEFLDO1 LDO2 DEFLDO2 2.2F LDO_EN VSYSIN VDCDC3 VBACKUP L3 VRTC 2.2H 22F PWRFAIL 100kΩ 100kΩ DCDC2_EN RESPWRON DCDC1_EN AGND1 DCDC3_EN AGND2 100kΩ DEFDCDC3 PGND1 DEFDCDC2 PGND2 DEFDCDC1 PGND3 DaVinci TMS320DM644x SCLK SDAT CVDDDSP USB_VDD1P2LDO CVDD APLLREFV VDDA_1P1V DVD18 DVDDR2 VDDA_1P8V USB_VDD1P8 M24VDD PLLVDD18 DDR_VDDDL MXVDD For additional power supply designs for TI DSPs, please visit USB_VDDA3P3 DVDD33 Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level power requirements to ensure an adequate power supply design is used. 1.2-V Domain 1.8-V Domain 3.3-V Domain

123 Appendix Complementary Analog Products for the TMS320DM335 Digital Media Processor 121 Best Performance Best Value Low Power Video Amplifi er THS SDTV video amplifi ers for OPA361 3-V video amp with internal gain and fi lter SOIC CVBS, S-Video, Y U V, etc. 5.2-V/V gain (14.3 db) SC70 2-pole reconstruction fi lter Integrated level shifter Input range includes ground DC-coupled input Rail-to-rail output Low quiescent current: 5.3 ma Shutdown current: 1.5 µa Class-D Amplifi er TPA2013D1 DSBGA QFN Constant output power 1.8-V to 5.5-V operation 2.2-W into an 8-Ω load from a 3.6-V supply Low-Power Voltage Ref Audio Codecs Low-Power Stereo Video DAC (Decoder) Low-Power Touch Screen Controller Low-Power Digital Temp Sensor Clocks Programmable PLL Synthesizer Low-Power Wireless 2.4-GHz RF Transceiver REF50xx SOIC MSOP TPA2010D1 DSBGA REF33xx SOIC MSOP 2.5-W mono fi lter-free TPA2006D1 Class-D SON Effi ciency: 88% at 400 mw, 80% at 100 mw Improved CMRR, PSRR Low supply current: 3.9 µa (typ) Low temperature drift: 30 ppm/ C (max) High initial accuracy: ±0.15% (max) High output current: ±5 ma Stereo audio DAC + ADC AIC3254 Low-temperature drift [3 ppm/ C (max)] High accuracy: 0.05% max Low noise (3 µvpp/v) Stereo codec with inte- AIC3107 AIC3104 QFN grated mono Class-D amp QFN Six audio output drivers QFN Audio ADC + Audio DAC Automatic gain control Seven audio input pins 14-mW stereo 48-kHz PB TVP5150 TQFP TSC2008 QFN DSBGA TMP102 SOT CDCE913 TSSOP Ultra-low power NTSC/PAL video decoder Two composite inputs or one S-Video input VBI modes supported include: Teletext, Wide Screen Signaling, etc. Macrovision copy protection detection Ultra-low power consumption: 113 mw (typ) Power-down mode: <1 mw 1.2-V to 3.6-V, 12-bit nanopower, 4-wire micro touch screen controller with SPI Effective throughput rate: Up to 20 khz (8 bit) or 10 khz (12 bit) Low power (12 bit, 8.2-kHz eq rate): 30.4 ma at 1.2 V, f SCLK = 5 MHz WCSP-12 and 4 4 QFN-16 packages 44.6 ma at 2.7 V, f SCLK = 10 MHz Low quiescent current 10 µa (max) 10 µa (max) shutdown current Accuracy: 0.5 C ( 25 C to +85 C) 12-bit resolution Supply range: 1.4 V to 3.6 V Programmable 1-PLL VCXO clock synthesizer with 1.8-V and 3.3-V outputs In-system programmability and EEPROM (serial programmable volatile register and nonvolatile EEPROM) Flexible input clocking concept (external crystal: 8 MHz to 32 MHz) Low-noise PLL core [PLL loop fi lter components integrated and low period jitter (typical 50 ps)] Flexible clock driver Separate output supply pins CC2525 Wide supply range (2.0 V 3.8 V) QFN Low current consumption (27 ma in RX, 31 ma in 0 dbm) 87 dbm sensitivity (at 2 Mbps) Class A-B Amplifi er TPA6204A1 SON 1.7 W into 8Ω from a 5-V supply at THD = 10% typ Fast startup Only three external components 24-/16-/8-Bit TCA bit I/O expander I/O Expander QFN No glitch on power up 5-V tolerant I/O ports Compact Flash Interface ESD Protection ±15-kV Array CF4320H LFBGA TPD4E001 SOT TPA W into 8Ω from a 5-V MSOP supply at THD=1% typ SON Shutdown pin has 1.8-V BGA compatible thresholds Only 5 ext components CC2500 QFN 1.45-W mono Class-D 2.8-mA quiescent current 0.5-µA shutdown current 4.1-mW stereo 48ksps DAC playback 6.1-mW stereo 48ksps ADC record Low-power bypass Low current consumption (13.3 ma in RX, 250 kbaud) Programmable data rate from 1.2 to 500 kbaud TPA to , SOIC 5 V with 8-Ω load MSOP Depop circuitry Thermal and short-circuit protection TCA6416 TSSOP QFN BGA 16-bit I/O expander Internal power-on reset Noise fi lter on SCL/SDA inputs TCA6408 TSSOP QFN BGA Compact Flash bus-interface chip with ±15-kV ESD protection, translation, and card-detect circuitry Logic-level translation between 1.8-V, 2.5-V, 3.3-V and 5-V supplies Floating input conditions allowed Latch-up performance exceeds 250 ma per JESD 17 4-channel ESD protection Low 1.5-pF input capacitance Please visit ti.com/processorpower for complete power solutions. TPD2E001 DRY DRL QFN 2-channel ESD protection Low 1-nA (max) leakage current 0.9-V to 5.5-V supply-voltage range DRY, DRL and QFN package options 8-bit I/O expander Low standby current consumption of 1 µa

124 122 Appendix Complementary Analog Products for the TMS320DM355 Digital Media Processor Best Performance Best Value Low Power Video Amplifi er THS SDTV video amplifi ers for OPA361 3-V video amp with internal gain and fi lter SOIC CVBS, S-Video, Y U V, etc. 5.2-V/V gain (14.3 db) SC70 2-pole reconstruction fi lter Integrated level shifter Input range includes ground DC-coupled input Rail-to-rail output Low quiescent current: 5.3 ma Shutdown current: 1.5 µa Class-D Amplifi er TPA2013D1 DSBGA QFN Constant output power 1.8-V to 5.5-V operation 2.2-W into an 8-Ω load from a 3.6-V supply Low-Power Voltage Ref Audio Codecs Low-Power Stereo Video DAC (Decoder) Low-Power Touch Screen Controller Low-Power Digital Temp Sensor Clocks Programmable PLL Synthesizer Low-Power Wireless 2.4-GHz RF Transceiver REF50xx SOIC MSOP TPA2010D1 DSBGA REF33xx SOIC MSOP 2.5-W mono fi lter-free TPA2006D1 Class-D SON Effi ciency: 88% at 400 mw, 80% at 100 mw Improved CMRR, PSRR Low supply current: 3.9 µa (typ) Low temperature drift: 30 ppm/ C (max) High initial accuracy: ±0.15% (max) High output current: ±5 ma Stereo audio DAC + ADC AIC3254 Low-temperature drift [3 ppm/ C (max)] High accuracy: 0.05% max Low noise (3 µvpp/v) Stereo codec with inte- AIC3107 AIC3104 QFN grated mono Class-D amp QFN Six audio output drivers QFN Audio ADC + Audio DAC Automatic gain control Seven audio input pins 14-mW stereo 48-kHz PB TVP5150 TQFP TSC2008 QFN DSBGA TMP102 SOT CDCE913 TSSOP Ultra-low power NTSC/PAL video decoder Two composite inputs or one S-Video input VBI modes supported include: Teletext, Wide Screen Signaling, etc. Macrovision copy protection detection Ultra-low power consumption: 113 mw (typ) Power-down mode: <1 mw 1.2-V to 3.6-V, 12-bit nanopower, 4-wire micro touch screen controller with SPI Effective throughput rate: Up to 20 khz (8 bit) or 10 khz (12 bit) Low power (12 bit, 8.2-kHz eq rate): 30.4 ma at 1.2 V, f SCLK = 5 MHz WCSP-12 and 4 4 QFN-16 packages 44.6 ma at 2.7 V, f SCLK = 10 MHz Low quiescent current 10 µa (max) 10 µa (max) shutdown current Accuracy: 0.5 C ( 25 C to +85 C) 12-bit resolution Supply range: 1.4 V to 3.6 V Programmable 1-PLL VCXO clock synthesizer with 1.8-V and 3.3-V outputs In-system programmability and EEPROM (serial programmable volatile register and nonvolatile EEPROM) Flexible input clocking concept (external crystal: 8 MHz to 32 MHz) Low-noise PLL core [PLL loop fi lter components integrated and low period jitter (typical 50 ps)] Flexible clock driver Separate output supply pins CC2525 Wide supply range (2.0 V 3.8 V) QFN Low current consumption (27 ma in RX, 31 ma in 0 dbm) 87 dbm sensitivity (at 2 Mbps) Analog Front End VSP01M01 SOIC MSOP 10-bit resolution SNR 8 18dB gain Two-channel 8-bit DAC 24-/16-/8-Bit TCA bit I/O expander I/O Expander QFN No glitch on power up 5-V tolerant I/O ports Compact Flash Interface ESD Protection ±15-kV Array CF4320H LFBGA TPD4E001 SOT VSP2582 QFN CC2500 QFN CCD signal processing Programmable gain amp (PGA) 85 mw at 3.0 V and 36 MHz, 1 mw in standby mode TCA6416 TSSOP QFN BGA 16-bit I/O expander Internal power-on reset Noise fi lter on SCL/SDA inputs TCA6408 TSSOP QFN BGA Compact Flash bus-interface chip with ±15-kV ESD protection, translation, and card-detect circuitry Logic-level translation between 1.8-V, 2.5-V, 3.3-V and 5-V supplies Floating input conditions allowed Latch-up performance exceeds 250 ma per JESD 17 4-channel ESD protection Low 1.5-pF input capacitance Please visit ti.com/processorpower for complete power solutions. TPD2E001 DRY DRL QFN 2-channel ESD protection Low 1-nA (max) leakage current 0.9-V to 5.5-V supply-voltage range DRY, DRL and QFN package options 1.45-W mono Class-D 2.8-mA quiescent current 0.5-µA shutdown current 4.1-mW stereo 48ksps DAC playback 6.1-mW stereo 48ksps ADC record Low-power bypass Low current consumption (13.3 ma in RX, 250 kbaud) Programmable data rate from 1.2 to 500 kbaud 8-bit I/O expander Low standby current consumption of 1 µa

125 Appendix Complementary Analog Products for the TMS320DM365 Digital Media Processor 123 Best Performance Best Value Low Power Video Amplifi er THS SDTV video amplifi ers for OPA361 3-V video amp with internal gain and fi lter SOIC CVBS, S-Video, Y U V, etc. 5.2-V/V gain (14.3 db) SC70 2-pole reconstruction fi lter Integrated level shifter Input range includes ground DC-coupled input Rail-to-rail output Low quiescent current: 5.3 ma Shutdown current: 1.5 µa Class-D Amplifi er TPA2013D1 DSBGA QFN Constant output power 1.8-V to 5.5-V operation 2.2-W into an 8-Ω load from a 3.6-V supply Low-Power Voltage Ref Audio Codecs Low-Power Stereo Video DAC (Decoder) Low-Power Touch Screen Controller Low-Power Digital Temp Sensor Clocks Programmable PLL Synthesizer Low-Power Wireless 2.4-GHz RF Transceiver REF50xx SOIC MSOP TPA2010D1 DSBGA REF33xx SOIC MSOP 2.5-W mono fi lter-free TPA2006D1 Class-D SON Effi ciency: 88% at 400 mw, 80% at 100 mw Improved CMRR, PSRR Low supply current: 3.9 µa (typ) Low temperature drift: 30 ppm/ C (max) High initial accuracy: ±0.15% (max) High output current: ±5 ma Stereo audio DAC + ADC AIC3254 Low-temperature drift [3 ppm/ C (max)] High accuracy: 0.05% max Low noise (3 µvpp/v) Stereo codec with inte- AIC3107 AIC3104 QFN grated mono Class-D amp QFN Six audio output drivers QFN Audio ADC + Audio DAC Automatic gain control Seven audio input pins 14-mW stereo 48-kHz PB TVP5150 TQFP TSC2008 QFN DSBGA TMP102 SOT CDCE913 TSSOP Ultra-low power NTSC/PAL video decoder Two composite inputs or one S-Video input VBI modes supported include: Teletext, Wide Screen Signaling, etc. Macrovision copy protection detection Ultra-low power consumption: 113 mw (typ) Power-down mode: <1 mw 1.2-V to 3.6-V, 12-bit nanopower, 4-wire micro touch screen controller with SPI Effective throughput rate: Up to 20 khz (8 bit) or 10 khz (12 bit) Low power (12 bit, 8.2-kHz eq rate): 30.4 ma at 1.2 V, f SCLK = 5 MHz WCSP-12 and 4 4 QFN-16 packages 44.6 ma at 2.7 V, f SCLK = 10 MHz Low quiescent current 10 µa (max) 10 µa (max) shutdown current Accuracy: 0.5 C ( 25 C to +85 C) 12-bit resolution Supply range: 1.4 V to 3.6 V Programmable 1-PLL VCXO clock synthesizer with 1.8-V and 3.3-V outputs In-system programmability and EEPROM (serial programmable volatile register and nonvolatile EEPROM) Flexible input clocking concept (external crystal: 8 MHz to 32 MHz) Low-noise PLL core [PLL loop fi lter components integrated and low period jitter (typical 50 ps)] Flexible clock driver Separate output supply pins CC2525 Wide supply range (2.0 V 3.8 V) QFN Low current consumption (27 ma in RX, 31 ma in 0 dbm) 87 dbm sensitivity (at 2 Mbps) Analog Front End VSP01M01 SOIC MSOP 10-bit resolution SNR 8 18dB gain Two-channel 8-bit DAC 24-/16-/8-Bit TCA bit I/O expander I/O Expander QFN No glitch on power up 5-V tolerant I/O ports Integrated 1394a PHY and Link ESD Protection ±15-kV Array TSB43EA42 BGA TPD4E001 SOT VSP2582 QFN CC2500 QFN CCD signal processing Programmable gain amp (PGA) 85 mw at 3.0 V and 36 MHz, 1 mw in standby mode TCA6416 TSSOP QFN BGA 16-bit I/O expander Internal power-on reset Noise fi lter on SCL/SDA inputs TCA6408 TSSOP QFN BGA Integrated 400/200/100 Mbps 2-port/3-port PHY Supports bus manager functions and automatic 1394 self-id verifi cation DTCP encryption support on IEEE 1394 bus Support for up to two encrypted/decrypted streams at one time Two confi gurable high-speed data ports for video data, one port serial, one serial or parallel 2 4K-Byte isochronous buffers for video data SRAM-like 16-bit asynchronous interface 4-channel ESD protection Low 1.5-pF input capacitance Please visit ti.com/processorpower for complete power solutions. TPD2E001 DRY DRL QFN 2-channel ESD protection Low 1-nA (max) leakage current 0.9-V to 5.5-V supply-voltage range DRY, DRL and QFN package options 1.45-W mono Class-D 2.8-mA quiescent current 0.5-µA shutdown current 4.1-mW stereo 48ksps DAC playback 6.1-mW stereo 48ksps ADC record Low-power bypass Low current consumption (13.3 ma in RX, 250 kbaud) Programmable data rate from 1.2 to 500 kbaud 8-bit I/O expander Low standby current consumption of 1 µa

126 124 Notes

127 Notes 125 Important Notice: The products and services of Texas Instruments Incorporated and its subsidiaries described herein are sold subject to TI s standard terms and conditions of sale. Customers are advised to obtain the most current and complete information about TI products and services before placing orders. TI assumes no liability for applications assistance, customer s applications or product designs, software performance, or infringement of patents. The publication of information regarding any other company s products or services does not constitute TI s approval, warranty or endorsement thereof. Safe Harbor Statement: This publication may contain forward-looking statements that involve a number of risks and uncertainties. These forward-looking statements are intended to qualify for the safe harbor from liability established by the Private Securities Litigation Reform Act of These forward-looking statements generally can be identified by phrases such as TI or its management believes, expects, anticipates, foresees, forecasts, estimates or other words or phrases of similar import. Similarly, such statements herein that describe the company s products, business strategy, outlook, objectives, plans, intentions or goals also are forward-looking statements. All such forward-looking statements are subject to certain risks and uncertainties that could cause actual results to differ materially from those in forward-looking statements. Please refer to TI s most recent Form 10-K for more information on the risks and uncertainties that could materially affect future results of operations. We disclaim any intention or obligation to update any forward-looking statements as a result of developments occurring after the date of this publication. Trademarks in this issue: The platform bar, C2000, TMS320C2000, C28x, TMS320C24x, TMS320C28x, C5000, TMS320C5000, C54x, TMS320C54x, C55x, TMS320C55x, DaVinci, C6000, TMS320C6000, C64x, TMS320C64x, C64x+, TMS320C64x+, C67x, TMS320C67x, DM64x, TMS320DM64x, TMS320C5x, Code Composer, Code Composer Studio, controlcard, controlstick, DSP/BIOS, expressdsp, MicroStar BGA, NanoStar, OMAP, Piccolo, RTDX, SimpliciTI, StellarisWare, TI-RFid, TMS320, VLYNQ, XDS510, XDS560 and Z-Stack are trademarks and Stellaris and DLP are registered trademarks of Texas Instruments. All other trademarks are property of their respective owners Texas Instruments Incorporated Printed in U.S.A. by Southwest Precision Printers, Houston, TX

128 Digital Signal Processors Digital Media Processors SPRAAK9 May 2007 Application Report Implementing DDR2 PCB Layout on the TMS320DM647/8 Michael Shust, Kevin Jones... High Speed HW Productization ABSTRACT This document contains implementation instructions for the DDR2 memory controller interface contained the TMS320DM647/8 DSP devices. The approach to specifying interface timing for the DDR2 interface is quite different than on previous devices. The previous approach specified device timing in terms of data sheet specifications and simulation models. The customer was required to obtain compatible memory devices, as well as their data sheets and simulation models. The customer would then take this information and design their printed circuit board (PCB) using high speed simulation to close system timing. For the DM647/8 DDR2 interface, the approach is to specify compatible DDR2 devices and provide the PCB routing rule solution directly to the customer. TI has performed the simulation and system design work to ensure DDR2 interface timings are met. The DDR2 system solution is referred to as the DM647/8 DDR2 collateral. This document describes the content of this collateral. The DM647/8 DSK and EVM provide example PCB layouts following these routing rules that pass FCC EMI requirements. The DSK contains a single DM647/8 device and the EVM is a DSK with an attached daughter card containing another DM647/8 device. Both DDR2 layouts on the DSK/EVM meet the routing rules detailed in this document. The customer may copy these DDR2 layouts directly, but the intent is to allow enough flexibility in the routing rules to meet other PCB requirements and allow the customer to derive an optimized layout for their specific application. Contents 1 Prerequisites DM647/8 DDR2 Supported Devices Other Documentation Schematics and Electrical Connections Stackup Placement Routing List of Figures 1 DM647/8 DDR2 High Level Schematic DM647/8 and DDR2 Device Placement Specification Example DDR2 Keep Out Region PLL and DLL Filter Requirements Discrete Part Placement VREF Specification General Address, Bank Address, Control and Clock Routing General Data Byte 0Routing General Data Byte 1 Routing General Data Byte 2 Routing General Data Byte 3Routing SPRAAK9 May 2007 Implementing DDR2 PCB on the DM647/8 1 Submit Documentation Feedback Technical Documentation enewsletters Applications Processors ARM Processors Microcontrollers Complementary Analog Components Embedded Processors Product Information e2e.ti.com Download the latest resources for embedded processors at Embedded Processor Selection Made Easy New interactive selection tools Know your next design requirements? Your processor is just a few clicks away. MCU Selection Tool Select DSP Selection Tool Select Microcontroller Selection Tool From memory size and type to number of pins and integrated peripherals, find the MCU that best fits your needs and configure results based on analog integration, standby or active current. Applications Processors and DSP Selection Tool Find the best processor fit for your next design based on various parameters for a DSP or SoC. You can also search for processors based on system and software capabilities as well as supported operating systems. SPRT492C

TI TMS320C6000 DSP Online Seminar

TI TMS320C6000 DSP Online Seminar TI TMS320C6000 DSP Online Seminar Agenda Introduce to C6000 DSP Family C6000 CPU Architecture Peripheral Overview Development Tools express DSP Q & A Agenda Introduce to C6000 DSP Family C6000 CPU Architecture

More information

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments DSP Solutions For High Quality Video Systems Todd Hiers Texas Instruments TI Video Expertise Enables Faster And Easier Product Innovation TI has a long history covering the video market from end to end

More information

DaVinci. DaVinci Processor CPU MHz

DaVinci. DaVinci Processor CPU MHz DaVinci DaVinci Processor CPU MHz Capture/ Display DM355** ARM926 216, 270 Capture/Display DM6467 + C64x+ TM /ARM926 600/300 Capture/Display DM648* C64x+ 720, 900 Capture/Display DM647* C64x+ 720, 900

More information

C67x floating-point DSPs and C674x fixed-/floating-point DSPs.

C67x floating-point DSPs and C674x fixed-/floating-point DSPs. Embedded Processing Overview Texas Instruments has the broadest portfolio of scalable DSP, MCU, differentiated ARM and complementary analog products, offering complete system solutions for electronics

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

DSP 最新技術與開發工具介紹. Sunny Lee

DSP 最新技術與開發工具介紹. Sunny Lee 最新技術與開發工具介紹 Sunny Lee sunnylee@ti.com Low-Power Processors Roadmap In Production 08 Samples 09 samples Future C671x 300MHz C672x 300 MHz C620x C641x C642x C5509A 200 MHz 300 MHz ARM926 C674x 300 MHz ARM926

More information

Classification of Semiconductor LSI

Classification of Semiconductor LSI Classification of Semiconductor LSI 1. Logic LSI: ASIC: Application Specific LSI (you have to develop. HIGH COST!) For only mass production. ASSP: Application Specific Standard Product (you can buy. Low

More information

Chapter 7. Hardware Implementation Tools

Chapter 7. Hardware Implementation Tools Hardware Implementation Tools 137 The testing and embedding speech processing algorithm on general purpose PC and dedicated DSP platform require specific hardware implementation tools. Real time digital

More information

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description C55x DSP Operating at 125 MHz, Providing up to 250 MIPS MIPS32 4KEc 32-Bit RISC Processor, Operating at 165 MHz, Providing up to 223 Dhrystone MIPS On-Chip Peripherals Include: External Memory Interface

More information

MYD-C437X-PRU Development Board

MYD-C437X-PRU Development Board MYD-C437X-PRU Development Board MYC-C437X CPU Module as Controller Board Two 0.8mm pitch 100-pin Connectors for Board-to-Board Connections Up to 1GHz TI AM437x Series ARM Cortex-A9 Processors 512MB DDR3

More information

DSP SELECTION GUIDE R E A L W O R L D S I G N A L P R O C E S S I N G TM INSIDE 4Q Digital Signal Processors System Solutions Development Tools

DSP SELECTION GUIDE R E A L W O R L D S I G N A L P R O C E S S I N G TM INSIDE 4Q Digital Signal Processors System Solutions Development Tools R E A L W O R L D S I G N A L P R O C E S S I N G TM DSP SELECTION GUIDE Digital Signal Processors System Solutions Development Tools 4Q 2003 INSIDE System Solutions 4 TMS320C6000 DSP Platform 8 TMS320C5000

More information

WHICH MICRO? What does MCU needs to do in my system? What are the tasks? Dr. Adriana Becker-Gomez

WHICH MICRO? What does MCU needs to do in my system? What are the tasks? Dr. Adriana Becker-Gomez 1 WHICH MICRO? What does MCU needs to do in my system? What are the tasks? Dr. Adriana Becker-Gomez Email: axbeec@rit.edu Office: 9-3477 2 Specs System design: High level definition (functional specs)

More information

Digital Signal Processor 2010/1/4

Digital Signal Processor 2010/1/4 Digital Signal Processor 1 Analog to Digital Shift 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments DVD player Air conditioner (controller) Digital Camera MP3 audio

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

Introduction to Sitara AM437x Processors

Introduction to Sitara AM437x Processors Introduction to Sitara AM437x Processors AM437x: Highly integrated, scalable platform with enhanced industrial communications and security AM4376 AM4378 Software Key Features AM4372 AM4377 High-performance

More information

2008/12/23. System Arch 2008 (Fire Tom Wada) 1

2008/12/23. System Arch 2008 (Fire Tom Wada) 1 Digital it Signal Processor System Arch 2008 (Fire Tom Wada) 1 Analog to Digital Shift System Arch 2008 (Fire Tom Wada) 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments

More information

TMS320C6000 : The Broadband Infrastructure and Imaging DSP World s Highest Performance DSP

TMS320C6000 : The Broadband Infrastructure and Imaging DSP World s Highest Performance DSP TMS320C6000 : The Broadband Infrastructure and Imaging DSP World s Highest Performance DSP Platform Update August 2000 Henry Wiechman Worldwide C6000 DSP Product Marketing Manager Three DSP Breakthroughs

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

MYD-IMX28X Development Board

MYD-IMX28X Development Board MYD-IMX28X Development Board MYC-IMX28X CPU Module as Controller Board Two 1.27mm pitch 80-pin SMT Male Connectors for Board-to-Board Connections 454MHz Freescale i.mx28 Series ARM926EJ-S Processors 128MB

More information

MYD-IMX28X Development Board

MYD-IMX28X Development Board MYD-IMX28X Development Board MYC-IMX28X CPU Module as Controller Board Two 1.27mm pitch 80-pin SMT Connectors for Board-to-Board Connections 454MHz Freescale i.mx28 Series ARM926EJ-S Processors 128MB DDR2

More information

OMAP1510 T H E W O R L D L E A D E R I N D S P A N D A N A L O G. Product Bulletin. Application Processor for 2.5 and 3G Wireless Devices

OMAP1510 T H E W O R L D L E A D E R I N D S P A N D A N A L O G. Product Bulletin. Application Processor for 2.5 and 3G Wireless Devices T H E W O R L D L E A D E R I N D S P A N D A N A L O G Product Bulletin OMAP110 Application Processor for 2. and 3G Wireless Devices The OMAP110 processor is a unique dual-core architecture that combines

More information

DevKit8500D Evaluation Kit

DevKit8500D Evaluation Kit DevKit8500D Evaluation Kit TI DM3730 Processor based on 800MHz ARM Cortex-A8 core Onboard 512MByte DDR SDRAM and 512MByte NAND Flash 3 UART, 4 USB Host, USB OTG, Ethernet, Audio, TF, Keyboard, Jtag,...

More information

MYC-C437X CPU Module

MYC-C437X CPU Module MYC-C437X CPU Module - Up to 1GHz TI AM437x Series ARM Cortex-A9 Processors - 512MB DDR3 SDRAM, 4GB emmc Flash, 32KB EEPROM - Gigabit Ethernet PHY - Power Management IC - Two 0.8mm pitch 100-pin Board-to-Board

More information

SBC8140 Single Board Computer

SBC8140 Single Board Computer SBC8140 Single Board Computer TI DM3730 Processor based on 1GHz ARM Cortex-A8 core Flexible Design with a Tiny CPU Board mounted on Expansion Board Memory supporting 256MByte DDR SDRAM and 512MByte NAND

More information

TMS Bit RISC ARM7TDMI -Based Microcontroller Platform

TMS Bit RISC ARM7TDMI -Based Microcontroller Platform Technology for Innovators TM 2H 2006 TMS470 32-Bit RISC ARM7TDMI -Based Microcontroller Platform Embedded Controllers 2 Embedded Controllers TMS470: Unmatched Performance in ARM7TDMI-Based MCU from $1.99

More information

The World Leader in High Performance Signal Processing Solutions. DSP Processors

The World Leader in High Performance Signal Processing Solutions. DSP Processors The World Leader in High Performance Signal Processing Solutions DSP Processors NDA required until November 11, 2008 Analog Devices Processors Broad Choice of DSPs Blackfin Media Enabled, 16/32- bit fixed

More information

TMS320 DSP Algorithm Standard

TMS320 DSP Algorithm Standard R E A L W O R L D S I G N A L P R O C E S S I N G Product Bulletin TMS320 DSP Algorithm Standard Key Features and Benefits Algorithm Developer s Kit v2.51 Available Now Enables quicker and easier algorithm

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

嵌入式处理器介绍. 讲师 : Eldon Teng

嵌入式处理器介绍. 讲师 : Eldon Teng 嵌入式处理器介绍 讲师 : Eldon Teng TI Offers a Complete Solution The Real World Amplifier Data Converter Logic Temperature Pressure Position Speed Flow Humidity Sound Power Management Embedded Processors Light Amplifier

More information

Introducing: New Low-Cost & Low Pin Count PIC Microcontrollers for the 8-, 16- & 32-bit Markets

Introducing: New Low-Cost & Low Pin Count PIC Microcontrollers for the 8-, 16- & 32-bit Markets Introducing: New Low-Cost & Low Pin Count PIC Microcontrollers for the 8-, 16- & 32-bit Markets PIC MCU and dspic DSC Family Portfolio 2 New Low Cost, Low Pin-Count 8-, 16-, 32-bit Offerings 3 What Are

More information

RZ Embedded Microprocessors

RZ Embedded Microprocessors Next Generation HMI Solutions RZ Embedded Microprocessors www.renesas.eu 2013.11 The RZ Family Embedded Microprocessors The RZ is a new family of embedded microprocessors that retains the ease-of-use of

More information

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 STM32F429 Overview Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 Today - STM32 portfolio positioning 2 More than 30 product lines High-performance 398 CoreMark 120 MHz 150 DMIPS

More information

Micetek International Inc. Professional Supplier for PowerPC Development Tools

Micetek International Inc. Professional Supplier for PowerPC Development Tools Introduction of Micetek PowerPC development tools (2009) Freescale PowerPC processors are ideal for RISC embedded application. With excellent performance, high level of integration and advanced technology,

More information

MICROPROCESSOR SYSTEM FOR VISUAL BAKED PRODUCTS CONTROL

MICROPROCESSOR SYSTEM FOR VISUAL BAKED PRODUCTS CONTROL MICROPROCESSOR SYSTEM FOR VISUAL BAKED PRODUCTS CONTROL Krassimir Kolev, PhD University of Food Technologies - Plovdiv, Bulgaria Abstract The paper reports an authentic solution of a microprocessor system

More information

Getting Started With the Stellaris EK-LM4F120XL LaunchPad Workshop. Version 1.05

Getting Started With the Stellaris EK-LM4F120XL LaunchPad Workshop. Version 1.05 Getting Started With the Stellaris EK-LM4F120XL LaunchPad Workshop Version 1.05 Agenda Introduction to ARM Cortex Cortex -M4F M4F and Peripherals Code Composer Studio Introduction to StellarisWare, I iti

More information

Embedded Processing Portfolio for Ultrasound

Embedded Processing Portfolio for Ultrasound Embedded Processing Portfolio for Ultrasound High performance, programmable platform Processor performance speeds image analysis faster, clearer results Power/size efficient processors enable portability

More information

Analog Design Tools. Quickly & easily accessible Available online 24/7

Analog Design Tools. Quickly & easily accessible Available online 24/7 Analog Design Tools Quickly & easily accessible Available online 24/7 1Q 2008 TINA-TI www.ti.com/tina-ti TINA-TI Easy-to-use, powerful analog simulation program based on a PSPICE engine. TINA-TI is a fully

More information

DevKit8000 Evaluation Kit

DevKit8000 Evaluation Kit DevKit8000 Evaluation Kit TI OMAP3530 Processor based on 600MHz ARM Cortex-A8 core Memory supporting 256MByte DDR SDRAM and 256MByte NAND Flash UART, USB Host/OTG, Ethernet, Camera, Audio, SD, Keyboard,

More information

Micetek International Inc. Professional Supplier for PowerPC Development Tools

Micetek International Inc. Professional Supplier for PowerPC Development Tools Introduction of PowerPC development tools (2008) Freescale PowerPC processors are ideal for RISC embedded application. With excellent performance, high level of integration and advanced technology, these

More information

STM32 F0 Value Line. Entry-level MCUs

STM32 F0 Value Line. Entry-level MCUs STM32 F0 Value Line Entry-level MCUs Key Messages 2 STM32 F0: Is the Cortex -M0 core generated with ST s STM32 DNA, for cost sensitive designs. The STM32 F0 is benefiting of STM32 DNA, providing the essential

More information

MYD-JA5D2X Development Board

MYD-JA5D2X Development Board MYD-JA5D2X Development Board MYC-JA5D2X CPU Module as Controller Board 500MHz Atmel SAMA5D26/27 ARM Cortex-A5 Processor 256MB DDR3 SDRAM, 256MB Nand Flash, 4MB Data FLASH, 64KB EEPROM Serial ports, USB,

More information

University Program Advance Material

University Program Advance Material University Program Advance Material Advance Material Modules Introduction ti to C8051F360 Analog Performance Measurement (ADC and DAC) Detailed overview of system variances, parameters (offset, gain, linearity)

More information

Embest SOC8200 Single Board Computer

Embest SOC8200 Single Board Computer Embest SOC8200 Single Board Computer TI's AM3517 ARM Cortex A8 Microprocessors 600MHz ARM Cortex-A8 Core NEON SIMD Coprocessor POWERVR SGX Graphics Accelerator (AM3517 only) 16KB I-Cache, 16KB D-Cache,

More information

32-bit Microcontrollers. PIC32 Microcontroller Family with USB On-The-Go.

32-bit Microcontrollers. PIC32 Microcontroller Family with USB On-The-Go. 32-bit Microcontrollers PIC32 Microcontroller Family with USB On-The-Go Building on the heritage of Microchip Technology s world-leading 8- and 16-bit PIC microcontrollers, the PIC 32 family delivers 32-bit

More information

FOR IOT PRODUCT DEVELOPMENT

FOR IOT PRODUCT DEVELOPMENT FOR IOT PRODUCT DEVELOPMENT TRONSHOW IEEE2050-2018 STANDARD 2018/12/12 ATSUSHI HASEGAWA INDUSTRIAL SOLUTION BUSINESS UNIT RENESAS ELECTRONICS CORPORATION SOLUTION OFFERINGS FOR FOCUS DOMAINS To develop

More information

MYD-SAMA5D3X Development Board

MYD-SAMA5D3X Development Board MYD-SAMA5D3X Development Board MYC-SAMA5D3X CPU Module as Controller Board DDR2 SO-DIMM 200-pin Signals Consistent with Atmel's Official Board 536MHz Atmel SAMA5D3 Series ARM Cortex-A5 Processors 512MB

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

SmartBond DA Smallest, lowest power and most integrated Bluetooth 5 SoC. Applications DA14585

SmartBond DA Smallest, lowest power and most integrated Bluetooth 5 SoC. Applications DA14585 SmartBond DA14585 Smallest, lowest power and most integrated Bluetooth 5 SoC Connected devices are constantly evolving. New generations appear that are smarter, more full featured and have longer battery

More information

Conclusions. Introduction. Objectives. Module Topics

Conclusions. Introduction. Objectives. Module Topics Conclusions Introduction In this chapter a number of design support products and services offered by TI to assist you in the development of your DSP system will be described. Objectives As initially stated

More information

TMS320C2000 TM Digital Signal Controllers

TMS320C2000 TM Digital Signal Controllers Technology for Innovators TM 4Q 2006 TMS320C2000 TM Digital Signal Controllers Embedded Controllers 2 C2000 TM Digital Signal Controllers Key Benefits The C2000 TM Advantage Controller 16- and 32-bit digital

More information

Lab 1. OMAP5912 Starter Kit (OSK5912)

Lab 1. OMAP5912 Starter Kit (OSK5912) Lab 1. OMAP5912 Starter Kit (OSK5912) Developing DSP Applications 1. Overview In addition to having an ARM926EJ-S core, the OMAP5912 processor has a C55x DSP core. The DSP core can be used by the ARM to

More information

Renesas Synergy MCUs Build a Foundation for Groundbreaking Integrated Embedded Platform Development

Renesas Synergy MCUs Build a Foundation for Groundbreaking Integrated Embedded Platform Development Renesas Synergy MCUs Build a Foundation for Groundbreaking Integrated Embedded Platform Development New Family of Microcontrollers Combine Scalability and Power Efficiency with Extensive Peripheral Capabilities

More information

DSP. Mike Hames. Senior Vice President Application-Specific Products

DSP. Mike Hames. Senior Vice President Application-Specific Products Mike Hames Senior Vice President Application-Specific Products Breadth of Applications Drive Market Growth 15 Programmable Market 13% CAGR 7 6 Programmable Market Share 1 5 22 23 24 25 26 $B % 4 5 3 2

More information

Module Introduction. This training module provides an overview of Freescale s scalable solutions for low data rate 2.4 GHz connectivity.

Module Introduction. This training module provides an overview of Freescale s scalable solutions for low data rate 2.4 GHz connectivity. Module Introduction Purpose This training module provides an overview of Freescale s scalable solutions for low data rate 2.4 GHz connectivity. Objectives Understand Freescale s approach to ZigBee architecture

More information

EMAC SoM Presentation

EMAC SoM Presentation EMAC SoM Presentation www.emacinc.com www.emacinc emacinc.com/.com/som System on Module System on Module (SoM( SoM) ) is an alternative to Single Board Computers for Embedded Systems offering a more flexible

More information

EMAC SoM Presentation.

EMAC SoM Presentation. EMAC SoM Presentation www.emacinc.com www.emacinc.com/som System on Module System on Module (SoM) is an alternative to Single Board Computers for Embedded Systems offering a more flexible & contoured solution.

More information

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications Hot Chips August 2009 Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications PMP / Games Netbooks Pico Projectors Smart Phones Power? DSC Cost? New Features? Size? epaper ebook Time

More information

Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G

Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G 1 BROAD PORTFOLIO OF FLEXIBLE, SCALABLE SOLUTIONS From traditional voice-centric mobile phones to the most advanced,

More information

Product overview. Technology in Quality. ColdFire Module ARM Moduls System Integration Kit s Complete Systems

Product overview. Technology in Quality. ColdFire Module ARM Moduls System Integration Kit s Complete Systems Technology in Quality Product overview ColdFire Module ARM Moduls System Integration Kit s Complete Systems TQC Produktübersicht / KNZ / 23.03.2011 / Folie 1 Module overview TQM5329 TQMa28 TQMa35 TQM5200S

More information

THE LPC84X MCU FAMILY A MULTI-TESTER TOOL OFFERING FEATURES FOR YOUR NEXT IOT DESIGN

THE LPC84X MCU FAMILY A MULTI-TESTER TOOL OFFERING FEATURES FOR YOUR NEXT IOT DESIGN THE LPC84X MCU FAMILY A MULTI-TESTER TOOL OFFERING FEATURES FOR YOUR NEXT IOT DESIGN KEVIN TOWNSEND (MICROBUILDER) BRENDON SLADE (NXP) Agenda Part I Overview of the LPC84x Multi-Tester Swiss army knife

More information

STM32F3. Cuauhtémoc Carbajal ITESM CEM 12/08/2013

STM32F3. Cuauhtémoc Carbajal ITESM CEM 12/08/2013 STM32F3 Cuauhtémoc Carbajal ITESM CEM 12/08/2013 1 STM32 Portfolio 2 32 bit/dsc Applications A typical embedded system with both control and signal processing requirements Digital Signal Controllers efficient

More information

NXP Microcontrollers Selection Guide

NXP Microcontrollers Selection Guide November 2012 NXP Microcontrollers Selection Guide NXP LPC family of microcontrollers is changing the landscape for embedded applications. Featuring award-winning innovations in connectivity, flexibility,

More information

Course Introduction. Purpose: Objectives: Content: Learning Time:

Course Introduction. Purpose: Objectives: Content: Learning Time: Course Introduction Purpose: This course provides an overview of the Renesas SuperH series of 32-bit RISC processors, especially the microcontrollers in the SH-2 and SH-2A series Objectives: Learn the

More information

Overview of the ARM Embedded Processors from Texas Instruments *

Overview of the ARM Embedded Processors from Texas Instruments * OpenStax-CNX module: m44902 1 Overview of the ARM Embedded Processors from Texas Instruments * Miguel Morales This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License

More information

Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform.

Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform. Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform www.sierraradio.net www.hamstack.com Topics Introduction Hardware options Software development HamStack project

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

New CC430 combines leading MCU and RF technology

New CC430 combines leading MCU and RF technology New CC430 combines leading MCU and RF technology Brings personal and industrial wireless networking to the mass market Kevin Belnap MSP430 Marketing Mark Grazier Low Power RF Marketing Embargo date: November

More information

Z8 Encore! XP F1680 Series 8-Bit Flash Solution with Extended Peripherals

Z8 Encore! XP F1680 Series 8-Bit Flash Solution with Extended Peripherals Embedded Flash Solutions Z8 Encore! XP F1680 Series High-performance 8-bit Flash MCU F1680 advantage low power - 1.8 V highly integrated peripherals flexible memory options optimized cost/performance target

More information

Cypress PSoC 6 Microcontrollers

Cypress PSoC 6 Microcontrollers Cypress PSoC 6 Microcontrollers Purpose-Built for the Internet of Things WWW.CYPRESS.COM/PSOC6 Unmatched Solutions for the Internet of Things EMBEDDED IN TOMORROW The IoT is exploding, with more than 30

More information

STM32 F-2 series High-performance Cortex-M3 MCUs

STM32 F-2 series High-performance Cortex-M3 MCUs STM32 F-2 series High-performance Cortex-M3 MCUs STMicroelectronics 32 bit microcontrollers, 120 MHz/150 DMIPS with ART Accelerator TM and advanced peripherals www.st.com/stm32 STM32 F-2 series The STM32

More information

Subject to Change OMAP/ARM 最新技術與開發工具. Anderson Hsiao Cat/EEE Business Development Texas Instruments

Subject to Change OMAP/ARM 最新技術與開發工具. Anderson Hsiao Cat/EEE Business Development Texas Instruments Subject to Change OMAP/ARM 最新技術與開發工具 Anderson Hsiao Cat/EEE Business Development Texas Instruments OMAP-L1x Introduction OMAP35x Scaling down to OMAP-L1x Less Performance Similar Power - OMAP-L1x is

More information

RZ/G1 SeRieS embedded microprocessors

RZ/G1 SeRieS embedded microprocessors RZ/G1 SeRieS embedded microprocessors High-End HMI, Video, Embedded Vision and more 2017.01 RZ/G1 SERIES MULTI-CORE MPUS WITH high-end GRaphicS and multi-stream ViDeo RZ/G1 Series microprocessors (MPUs)

More information

PV8900-CORE Full Function TCC8900/TCC8901/TCC8902 CPU Module Specification

PV8900-CORE Full Function TCC8900/TCC8901/TCC8902 CPU Module Specification PV8900-CORE Full Function TCC8900/TCC8901/TCC8902 CPU Module Specification 1. Overview: PV8900-CORE CPU Module is designed by Shanghai Povell Electronic Technologies Co., Ltd. in 2010, this CPU module

More information

Application Report. 1 System Requirements. 2 Using the DM643x Pin Multiplexing Utility. Bernard Thompson...

Application Report. 1 System Requirements. 2 Using the DM643x Pin Multiplexing Utility. Bernard Thompson... Application Report SPRAAN3 July 2007 TMS320DM643x Pin Multiplexing Utility Bernard Thompson... ABSTRACT The DM643x devices use a great deal of internal pin multiplexing to allow the most functionality

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

nblue TM BR-MUSB-LE4.0-S2A (CC2540)

nblue TM BR-MUSB-LE4.0-S2A (CC2540) Page 1 of 5 Copyright 2002-2014 BlueRadios, Inc. Bluetooth 4.0 Low Energy Single Mode Class 1 SoC USB Serial Dongle nblue TM BR-MUSB-LE4.0-S2A (CC2540) AT HOME. AT WORK. ON THE ROAD. USING BLUETOOTH LOW

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

Zatara Series ARM ASSP High-Performance 32-bit Solution for Secure Transactions

Zatara Series ARM ASSP High-Performance 32-bit Solution for Secure Transactions 1 ARM-BASED ASSP FOR SECURE TRANSACTIONS ZATARA SERIES 32-BIT ARM ASSP PB022106-1008 ZATARA SERIES ADVANTAGE SINGLE-CHIP SOLUTION BEST FEATURE SET IN POS PCIPED PRE-CERTIFIED EMV L1 CERTIFIED TOTAL SOLUTION

More information

AIM: To create a project for implement a wireless communication protocol on an embedded system- ZigBee.

AIM: To create a project for implement a wireless communication protocol on an embedded system- ZigBee. AIM: To create a project for implement a wireless communication protocol on an embedded system- ZigBee. Introduction ZigBee is one of the Advanced Wireless Technology and CC2430 is the first single-chip

More information

Cypress PSoC 4 Microcontrollers

Cypress PSoC 4 Microcontrollers Cypress PSoC 4 Microcontrollers Your Problem-Solver on Chip WWW.CYPRESS.COM/PSOC4 THE DIFFERENTIATION DILEMMA Embedded systems are rapidly advancing with innovative features added at each new product generation.

More information

Kinetis KE1xF512 MCUs

Kinetis KE1xF512 MCUs NXP Semiconductors Document Number: KE1XF512PB Product Brief Rev. 1.1, 08/2016 Kinetis KE1xF512 MCUs Robust 5V MCUs with ADCs, FlexTimers, CAN and expanding memory integration in Kinetis E-series. Now

More information

Welcome to the Controller Continuum Only from Freescale

Welcome to the Controller Continuum Only from Freescale Microcontrollers Welcome to the Only from Freescale Learn More: For current information about Freescale products and documentation, please visit. freescale.com/continuum Freescale and the Freescale logo

More information

STM32 Cortex-M3 STM32F STM32L STM32W

STM32 Cortex-M3 STM32F STM32L STM32W STM32 Cortex-M3 STM32F STM32L STM32W 01 01 STM32 Cortex-M3 introduction to family 1/2 STM32F combine high performance with first-class peripherals and lowpower, low-voltage operation. They offer the maximum

More information

Introducing STM32 L0x Series. April

Introducing STM32 L0x Series. April Introducing STM32 L0x Series April 2014 www.emcu.it 20- to 80pins 20- to 100pins 48- to 144pins Memory size (Bytes) ST s Ultra-low-power Continuum (1/2) 2 512K 256K 192K STM32L0 Cortex TM -M0+ STM32L1

More information

STM8L and STM32 L1 series. Ultra-low-power platform

STM8L and STM32 L1 series. Ultra-low-power platform STM8L and STM32 L1 series Ultra-low-power platform 8-bit and 32-bit MCU families 2 Flash (bytes) 2 M 1 M 128 K 16 K 8-bit Core STM8S Mainstream STM8A F and STM8AL Automotive STM8L Ultra-low-power 32-bit

More information

KeyStone C66x Multicore SoC Overview. Dec, 2011

KeyStone C66x Multicore SoC Overview. Dec, 2011 KeyStone C66x Multicore SoC Overview Dec, 011 Outline Multicore Challenge KeyStone Architecture Reminder About KeyStone Solution Challenge Before KeyStone Multicore performance degradation Lack of efficient

More information

The Software of Things T Y S O N T U T T L E C E O S I L I C O N L A B S A S P E N C O R E C E O S U M M I T S H E N Z H E N 8 N O V E M B E R 2018

The Software of Things T Y S O N T U T T L E C E O S I L I C O N L A B S A S P E N C O R E C E O S U M M I T S H E N Z H E N 8 N O V E M B E R 2018 The Software of Things T Y S O N T U T T L E C E O S I L I C O N L A B S A S P E N C O R E C E O S U M M I T S H E N Z H E N 8 N O V E M B E R 2018 Most technology we ve built so far was for the Internet

More information

Video Interface Module for TI EVM TMDXEVM8148 and TMDXEVM368

Video Interface Module for TI EVM TMDXEVM8148 and TMDXEVM368 CH-Merge with LVDS HD-SDI for TI EVM TMDXEVM8148 and TMDXEVM368 VIM-HDSDI TMDXEVM8148 cable length over 100m Multi channel HD-SDI DDR3 TMS320DM8148(1GHz Cortex A8, 750MHz C674x ) Dual 32bit DDR3 of 1GB,

More information

SAM A5 ARM Cortex - A5 MPUs

SAM A5 ARM Cortex - A5 MPUs SAM A5 ARM Cortex - A5 MPUs Industry s lowest-power MPUs Ideal for secure industry, IoT, wearable applications Operating at 600MHz/945DMIPS with low power consumption, the SAMA5 ARM Cortex-A5 based MPU

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

Agilent N2533A RMP 4.0 Remote Management Processor Data Sheet

Agilent N2533A RMP 4.0 Remote Management Processor Data Sheet Agilent N2533A RMP 4.0 Remote Management Processor Data Sheet Description The Agilent RMP 4.0 is a highly integrated Remote Management Processor. Its small package and flexible hardware design is suitable

More information

Kinetis EA Ultra-Reliable Microcontrollers. Automotive and Industrial Applications

Kinetis EA Ultra-Reliable Microcontrollers. Automotive and Industrial Applications Kinetis EA Ultra-Reliable Microcontrollers Automotive and Industrial Applications Agenda Introducing Kinetis EA Proposition Value Features Overview Application Examples Enablement Useful Links 1 Kinetis

More information

CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II

CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II The prebid meeting for the packages to be purchased under national competitive bidding for TEQIP Phase II was held on 15/10/2013

More information

30 Years of TI s DSP: what s next? Fernando Mujica, Ph.D. Director, System Architectures Research Lab

30 Years of TI s DSP: what s next? Fernando Mujica, Ph.D. Director, System Architectures Research Lab 30 Years of TI s DSP: what s next? Fernando Mujica, Ph.D. Director, System Architectures Research Lab Outline History of the DSP DSP evolution from theory to processor to enabler Past, present and Future

More information

SABRE for Automotive Infotainment Quick Start Guide. Smart Application Blueprint for Rapid Engineering Based on the i.mx 6 Series

SABRE for Automotive Infotainment Quick Start Guide. Smart Application Blueprint for Rapid Engineering Based on the i.mx 6 Series SABRE for Automotive Infotainment Quick Start Guide Smart Application Blueprint for Rapid Engineering Based on the i.mx 6 Series About SABRE Platform for Automotive Infotainment Based on the the i.mx 6

More information

STM32F7 series ARM Cortex -M7 powered Releasing your creativity

STM32F7 series ARM Cortex -M7 powered Releasing your creativity STM32F7 series ARM Cortex -M7 powered Releasing your creativity STM32 high performance Very high performance 32-bit MCU with DSP and FPU The STM32F7 with its ARM Cortex -M7 core is the smartest MCU and

More information

Freescale i.mx Applications Processors based on ARM Technology Connected Multimedia

Freescale i.mx Applications Processors based on ARM Technology Connected Multimedia Freescale i.mx Applications Processors based on ARM Technology Connected Multimedia 2010 ARM Technology Symposiums Vivek Tyagi- Country Sales Manager, Freescale India Freescale, the Freescale logo, CodeWarrior,

More information