KeyStone C665x Multicore SoC

Size: px
Start display at page:

Download "KeyStone C665x Multicore SoC"

Transcription

1 KeyStone Multicore SoC Architecture

2 KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations Backward-compatible with C64x+ and C67x+ cores Local L2 memory per core Multicore Shared Memory Controller () 32-bit DDR3 Interface Hardware Turbo Coprocessor Decoder () 2x Viterbi () Manager (8192 hardware queues) -based DMA Interfaces High-speed Hyperlink bus One 10/100/1000 port 4x Serial RapidIO () Rev 2.1 2x Gen2 2x Multichannel Buffered Serial Ports (McBSP) One Asynchronous Memory Interface (EMIF16) Additional Serials: SPI, C,, GPIO, ART Embedded Trace Buffer (ETB) and System Trace Buffer (STB) HyperLink EMIF16 GPIO C ART SPI McBSP C6655/57 Manager DMA Smart Reflex Enabled 40 nm High-Performance Process

3 KeyStone C6654: Optimized C66x C6654: One DSP Core at 850 MHz Fixed and Floating Point Operations Backward compatible with C64x+ and C67x+ cores C MB Local L2 memory Multicore Shared Memory Controller () 32-bit DDR3 Interface Manager (8192 hardware queues) -based DMA Interfaces One 10/100/1000 port 2x Gen2 2x Multichannel Buffered Serial Ports (McBSP) One Asynchronous Memory Interface (EMIF16) Additional Serials: SPI, C,, GPIO, ART MHz Embedded Trace Buffer (ETB) and System Trace Buffer (STB) Smart Reflex Enabled 40 nm High-Performance Process Manager DMA EMIF16 GPIO C ART SPI McBSP

4 KeyStone : Key HW Variations HW Feature C6654 C6655 C6657 Frequency (GHz) , , 1.0, 1.25 Multicore Shared Memory () No 1024KB DDR3 Maximum Data Rate Serial Rapid I/O Lanes No 4x HyperLink No Yes Viterbi Coprocessor (VCP) No 2x Turbo Coprocessor Decoder () No Yes

5 & AR RT McBS SP & 1 or 66x DSP Cores operating at up to 1.25 GHz Fixed andfloating Point Operations Code compatible with C64x+ and C67x+ L1 Memory Can be partitioned as cache or P per core D per core Error Detection for L1P Memory Protection Dedicated and Shared L2 Memory 1 MB local L2 per core Multicore Shared Memory Controller () 1 MB Multicore Shared Memory () for C6655/57 Error detection/correction for all L2 memory available to all cores and can be either program or data shared dby both thdsp C66x s for two core C Bit DDR3 External Memory Interface DDR (C6654) DDR (C6655/57) DDR (C6655/57) Secure

6 AR RT McBS SP & Multicore Shared Memory Controller () Arbitrates and SoC master access to shared memory Provides a direct connection to the Provides access to coprocessors (C6655/57) andio peripherals Memory protection and address extension to 64 GB (36 bits) Provides multi stream pre fetching capability DDR3 External Memory Interface (EMIF) Support for 1x 16 bit and 1x 32 bit Supports up to 1333 MHz (C6655/57) Supports power down of unused pins Support for 8 GB memory address Error detection and correction EMIF 16 Three modes: Synchronized NAND flash NOR flash Can be used to connect asynchronous memory (e.g., NAND flash) and provides up to 256 MB of address space.

7 AR RT McBS SP & Manager and DMA Low overhead processing and routing of packet traffic Simplified resource management Effective inter processor communications Abstracts physical implementation from application host software Virtualization to enable dynamic load balancing and provide seamless access to resources on different cores 8K hardware queues and 16K descriptors; More descriptors can reside in any shared memory 10 Gbps pre fetching capability

8 External Interfaces AR RT McBS SP & External Interfaces One port supports 10/100/1000 / Mbps Four high bandwidth Serial RapidIO ( V2.1) lanes for inter DSP applications Two Gen II Lanes at 5 Gbps Two Multichannel Buffered Serial Ports (McBSP) SPI for boot operations ART for development/testing Cfor EPROM at 400 Kbps niversal Parallel Port () Two channels of 8 bits or 16 bits Supports SDR and DDR transfers 32 GPIO Pins 16 Bit EMIF

9 Switch Fabric AR RT McBS SP & External Interfaces Switch Fabric is a process controller: Channel Controller Transfer Controller provides a configured way within hardware to manage traffic queues and ensure priority jobs are getting accomplished while minimizing the involvement of the DSP cores. facilitates high bandwidth communications between cores, subsystems, peripherals, and memory.

10 Diagnostic Enhancements AR RT McBS SP & External Interfaces Switch Fabric Diagnostic Enhancements Embedded Trace Buffers (ETB) enhance the diagnostic capabilities of the. CP Monitor enables diagnostic capabilities on data traffic through the switch fabric. Diagnostic functions supported: Automatic statistics collection and exporting (non intrusive) Monitorindividual individual events forbetter debugging Monitor transactions to both memory end point and MMRs (Memory Mapped Registers) Configurable monitor filtering capability based on address and transaction type

11 HyperLink Bus & External Interfaces Switch Fabric Diagnostic Enhancements HyperLink Bus Provides the capability to expand the C66x to include hardware acceleration or other auxiliary processors Four lanes with up to 40 Gbaud per lane AR RT McBS SP

12 Miscellaneous Elements AR RT McBS SP & External Interfaces Switch Fabric Diagnostic Enhancements HyperLink Bus Miscellaneous Elements Public and secure capability 2provides provides atomic accessto shared chip level resources. Eight 64 bit timers Security/ Two on chip s: 1 for s 2 for DDR3

13 For More Information For more information, refer to the C66x Multicore Home Page to locate the data manual for your KeyStone device. View the complete C66x Multicore SOC Online Training for KeyStone Devices, including details on the individual modules. For questions regarding topics covered in this training, visit the support forums at the TI E2E Community website.

KeyStone C66x Multicore SoC Overview. Dec, 2011

KeyStone C66x Multicore SoC Overview. Dec, 2011 KeyStone C66x Multicore SoC Overview Dec, 011 Outline Multicore Challenge KeyStone Architecture Reminder About KeyStone Solution Challenge Before KeyStone Multicore performance degradation Lack of efficient

More information

SoC Overview. Multicore Applications Team

SoC Overview. Multicore Applications Team KeyStone C66x ulticore SoC Overview ulticore Applications Team KeyStone Overview KeyStone Architecture & Internal Communications and Transport External Interfaces and s Debug iscellaneous Application and

More information

Introduction to AM5K2Ex/66AK2Ex Processors

Introduction to AM5K2Ex/66AK2Ex Processors Introduction to AM5K2Ex/66AK2Ex Processors 1 Recommended Pre-Requisite Training Prior to this training, we recommend you review the KeyStone II DSP+ARM SoC Architecture Overview, which provides more details

More information

C66x KeyStone Training HyperLink

C66x KeyStone Training HyperLink C66x KeyStone Training HyperLink 1. HyperLink Overview 2. Address Translation 3. Configuration 4. Example and Demo Agenda 1. HyperLink Overview 2. Address Translation 3. Configuration 4. Example and Demo

More information

C66x KeyStone Training HyperLink

C66x KeyStone Training HyperLink C66x KeyStone Training HyperLink 1. HyperLink Overview 2. Address Translation 3. Configuration 4. Example and Demo Agenda 1. HyperLink Overview 2. Address Translation 3. Configuration 4. Example and Demo

More information

KeyStone Training. Turbo Encoder Coprocessor (TCP3E)

KeyStone Training. Turbo Encoder Coprocessor (TCP3E) KeyStone Training Turbo Encoder Coprocessor (TCP3E) Agenda Overview TCP3E Overview TCP3E = Turbo CoProcessor 3 Encoder No previous versions, but came out at same time as third version of decoder co processor

More information

KeyStone Training. Multicore Navigator Overview

KeyStone Training. Multicore Navigator Overview KeyStone Training Multicore Navigator Overview What is Navigator? Overview Agenda Definition Architecture Queue Manager Sub-System (QMSS) Packet DMA () Descriptors and Queuing What can Navigator do? Data

More information

Keystone Architecture Inter-core Data Exchange

Keystone Architecture Inter-core Data Exchange Application Report Lit. Number November 2011 Keystone Architecture Inter-core Data Exchange Brighton Feng Vincent Han Communication Infrastructure ABSTRACT This application note introduces various methods

More information

KeyStone Training. Bootloader

KeyStone Training. Bootloader KeyStone Training Bootloader Overview Configuration Device Startup Summary Agenda Overview Configuration Device Startup Summary Boot Overview Boot Mode Details Boot is driven on a device reset. Initial

More information

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description C55x DSP Operating at 125 MHz, Providing up to 250 MIPS MIPS32 4KEc 32-Bit RISC Processor, Operating at 165 MHz, Providing up to 223 Dhrystone MIPS On-Chip Peripherals Include: External Memory Interface

More information

Embedded Processing Portfolio for Ultrasound

Embedded Processing Portfolio for Ultrasound Embedded Processing Portfolio for Ultrasound High performance, programmable platform Processor performance speeds image analysis faster, clearer results Power/size efficient processors enable portability

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Chapter 7. Hardware Implementation Tools

Chapter 7. Hardware Implementation Tools Hardware Implementation Tools 137 The testing and embedding speech processing algorithm on general purpose PC and dedicated DSP platform require specific hardware implementation tools. Real time digital

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Keystone ROM Boot Loader (RBL)

Keystone ROM Boot Loader (RBL) Keystone Bootloader Keystone ROM Boot Loader (RBL) RBL is a code used for the device startup. RBL also transfers application code from memory or host to high speed internal memory or DDR3 RBL code is burned

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

The RM9150 and the Fast Device Bus High Speed Interconnect

The RM9150 and the Fast Device Bus High Speed Interconnect The RM9150 and the Fast Device High Speed Interconnect John R. Kinsel Principal Engineer www.pmc -sierra.com 1 August 2004 Agenda CPU-based SOC Design Challenges Fast Device (FDB) Overview Generic Device

More information

PRU Hardware Overview. Building Blocks for PRU Development: Module 1

PRU Hardware Overview. Building Blocks for PRU Development: Module 1 PRU Hardware Overview Building Blocks for PRU Development: Module 1 Agenda SoC Architecture PRU Submodules Example Applications 2 SoC Architecture Building Blocks for PRU Development: PRU Hardware Overview

More information

MICROPROCESSOR SYSTEM FOR VISUAL BAKED PRODUCTS CONTROL

MICROPROCESSOR SYSTEM FOR VISUAL BAKED PRODUCTS CONTROL MICROPROCESSOR SYSTEM FOR VISUAL BAKED PRODUCTS CONTROL Krassimir Kolev, PhD University of Food Technologies - Plovdiv, Bulgaria Abstract The paper reports an authentic solution of a microprocessor system

More information

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki An Ultra High Performance Scalable DSP Family for Multimedia Hot Chips 17 August 2005 Stanford, CA Erik Machnicki Media Processing Challenges Increasing performance requirements Need for flexibility &

More information

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments DSP Solutions For High Quality Video Systems Todd Hiers Texas Instruments TI Video Expertise Enables Faster And Easier Product Innovation TI has a long history covering the video market from end to end

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

On-Chip Debugging of Multicore Systems

On-Chip Debugging of Multicore Systems Nov 1, 2008 On-Chip Debugging of Multicore Systems PN115 Jeffrey Ho AP Technical Marketing, Networking Systems Division of Freescale Semiconductor, Inc. All other product or service names are the property

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

Introduction to Sitara AM437x Processors

Introduction to Sitara AM437x Processors Introduction to Sitara AM437x Processors AM437x: Highly integrated, scalable platform with enhanced industrial communications and security AM4376 AM4378 Software Key Features AM4372 AM4377 High-performance

More information

WS_CCESSH5-OUT-v1.01.doc Page 1 of 7

WS_CCESSH5-OUT-v1.01.doc Page 1 of 7 Course Name: Course Code: Course Description: System Development with CrossCore Embedded Studio (CCES) and the ADI ADSP- SC5xx/215xx SHARC Processor Family WS_CCESSH5 This is a practical and interactive

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

High Performance Compute Platform Based on multi-core DSP for Seismic Modeling and Imaging

High Performance Compute Platform Based on multi-core DSP for Seismic Modeling and Imaging High Performance Compute Platform Based on multi-core DSP for Seismic Modeling and Imaging Presenter: Murtaza Ali, Texas Instruments Contributors: Murtaza Ali, Eric Stotzer, Xiaohui Li, Texas Instruments

More information

An Introduction to the QorIQ Data Path Acceleration Architecture (DPAA) AN129

An Introduction to the QorIQ Data Path Acceleration Architecture (DPAA) AN129 July 14, 2009 An Introduction to the QorIQ Data Path Acceleration Architecture (DPAA) AN129 David Lapp Senior System Architect What is the Datapath Acceleration Architecture (DPAA)? The QorIQ DPAA is a

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Integrating DMA capabilities into BLIS for on-chip data movement. Devangi Parikh Ilya Polkovnichenko Francisco Igual Peña Murtaza Ali

Integrating DMA capabilities into BLIS for on-chip data movement. Devangi Parikh Ilya Polkovnichenko Francisco Igual Peña Murtaza Ali Integrating DMA capabilities into BLIS for on-chip data movement Devangi Parikh Ilya Polkovnichenko Francisco Igual Peña Murtaza Ali 5 Generations of TI Multicore Processors Keystone architecture Lowers

More information

Emerging Integrated Drive Controller

Emerging Integrated Drive Controller Emerging Integrated Drive Controller Ramesh Ramamoorthy - Senior Drive solution Expert & Sam Sabapathy - SMTS (Senior Member of the Technical Staff) C2000 System Solutions Industrial Drives & Functional

More information

FCQ2 - P2020 QorIQ implementation

FCQ2 - P2020 QorIQ implementation Formation P2020 QorIQ implementation: This course covers NXP QorIQ P2010 and P2020 - Processeurs PowerPC: NXP Power CPUs FCQ2 - P2020 QorIQ implementation This course covers NXP QorIQ P2010 and P2020 Objectives

More information

Design Choices for FPGA-based SoCs When Adding a SATA Storage }

Design Choices for FPGA-based SoCs When Adding a SATA Storage } U4 U7 U7 Q D U5 Q D Design Choices for FPGA-based SoCs When Adding a SATA Storage } Lorenz Kolb & Endric Schubert, Missing Link Electronics Rudolf Usselmann, ASICS World Services Motivation for SATA Storage

More information

RK3036 Kylin Board Hardware Manual V0.1

RK3036 Kylin Board Hardware Manual V0.1 RK3036 Kylin Board Hardware Manual V0.1 Content 1 Introduction 1.1 Kylin at first glance 1.2 Boot to console 1.3 Key features 1.4 Block diagram 2 Key parts in details 2.1 Processor 2.2 Memory 2.3 Storage

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Multicore DSP+ARM KeyStone II System-on-Chip (SoC)

Multicore DSP+ARM KeyStone II System-on-Chip (SoC) 66AK2E05, 66AK2E02 SPRS865C November 2012 Revised August 2014 1 66AK2E05/02 Features and Description 1.1 Features ARM Cortex -A15 MPCore CorePac Up to Four ARM Cortex-A15 Processor Cores at up to 1.4-GHz

More information

KeyStone Training. Power Management

KeyStone Training. Power Management KeyStone Training Management Overview Domains Clock Domains States SmartReflex Agenda Overview Domains Clock Domains States SmartReflex C66x Overview New Management Features New features: Switchable Logic

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures Plan Introduction PowerPC block RocketIO Introduction Introduction The larger the logical chip, the more additional

More information

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Paul Ekas, DSP Engineering, Altera Corp. pekas@altera.com, Tel: (408) 544-8388, Fax: (408) 544-6424 Altera Corp., 101

More information

HyperLink Programming and Performance consideration

HyperLink Programming and Performance consideration Application Report Lit. Number July, 2012 HyperLink Programming and Performance consideration Brighton Feng Communication Infrastructure ABSTRACT HyperLink provides a highest-speed, low-latency, and low-pin-count

More information

C900 PowerPC G4+ Rugged 3U CompactPCI SBC

C900 PowerPC G4+ Rugged 3U CompactPCI SBC C900 PowerPC G4+ Rugged 3U CompactPCI SBC Rugged 3U CompactPCI SBC PICMG 2.0, Rev. 3.0 Compliant G4+ PowerPC 7447A/7448 Processor @ 1.1 Ghz with AltiVec Technology Marvell MV64460 Discovery TM III System

More information

C901 PowerPC MPC7448 3U CompactPCI SBC

C901 PowerPC MPC7448 3U CompactPCI SBC C901 PowerPC MPC7448 3U CompactPCI SBC Rugged 3U CompactPCI SBC PowerPC 7448 @ 1.4 GHz, 1.0 GHz, or 600 MHz, with AltiVec Technology 166 MHz MPX Bus Marvell MV64460 Discovery TM III System Controller One

More information

A Next Generation Home Access Point and Router

A Next Generation Home Access Point and Router A Next Generation Home Access Point and Router Product Marketing Manager Network Communication Technology and Application of the New Generation Points of Discussion Why Do We Need a Next Gen Home Router?

More information

Multicore ARM KeyStone II System-on-Chip (SoC)

Multicore ARM KeyStone II System-on-Chip (SoC) AM5K2E04, AM5K2E02 SPRS864B June 2013 Revised January 2014 1 AM5K2E04/02 Features and Description 1.1 Features ARM Cortex -A15 MPCore CorePac Up to Four ARM Cortex-A15 Processor Cores at up to 1.4-GHz

More information

Input/Output Problems. External Devices. Input/Output Module. I/O Steps. I/O Module Function Computer Architecture

Input/Output Problems. External Devices. Input/Output Module. I/O Steps. I/O Module Function Computer Architecture 168 420 Computer Architecture Chapter 6 Input/Output Input/Output Problems Wide variety of peripherals Delivering different amounts of data At different speeds In different formats All slower than CPU

More information

C6100 Ruggedized PowerPC VME SBC

C6100 Ruggedized PowerPC VME SBC C6100 Ruggedized PowerPC VME SBC Rugged 6U VME Single Slot SBC Conduction and Air-Cooled Versions Two Asynchronous Serial Interfaces Four 32-Bit Timers G4 MPC7457 PowerPC with AltiVec Technology @ up to

More information

«Real Time Embedded systems» Cyclone V SOC - FPGA

«Real Time Embedded systems» Cyclone V SOC - FPGA «Real Time Embedded systems» Cyclone V SOC - FPGA Ref: http://www.altera.com rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 SOC + FPGA (ex. Cyclone V,

More information

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU New STM32 F7 Series World s 1 st to market, ARM Cortex -M7 based 32-bit MCU 7 Keys of STM32 F7 series 2 1 2 3 4 5 6 7 First. ST is first to sample a fully functional Cortex-M7 based 32-bit MCU : STM32

More information

Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs. November 2012

Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs. November 2012 Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs November 2012 How the world is doing more with TI s multicore Using TI multicore for wide variety of applications

More information

Tracing embedded heterogeneous systems P R O G R E S S R E P O R T M E E T I N G, M A Y

Tracing embedded heterogeneous systems P R O G R E S S R E P O R T M E E T I N G, M A Y Tracing embedded heterogeneous systems P R O G R E S S R E P O R T M E E T I N G, M A Y 2 0 1 6 T H O M A S B E R T A U L D D I R E C T E D B Y M I C H E L D A G E N A I S May 5th 2016 TRACING EMBEDDED

More information

1 66AK2H14/12/06 Features and Description

1 66AK2H14/12/06 Features and Description Check for Evaluation Modules (EVM): EVMK2H 1 66AK2H14/12/06 Features and Description 1.1 Features Eight (66AK2H14/12) or Four (66AK2H06) TMS320C66x DSP Core Subsystems (C66x CorePacs), Each With Up to

More information

Hercules ARM Cortex -R4 System Architecture. Processor Overview

Hercules ARM Cortex -R4 System Architecture. Processor Overview Hercules ARM Cortex -R4 System Architecture Processor Overview What is Hercules? TI s 32-bit ARM Cortex -R4/R5 MCU family for Industrial, Automotive, and Transportation Safety Hardware Safety Features

More information

Digital Signal Processor 2010/1/4

Digital Signal Processor 2010/1/4 Digital Signal Processor 1 Analog to Digital Shift 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments DVD player Air conditioner (controller) Digital Camera MP3 audio

More information

High-Performance, Highly Secure Networking for Industrial and IoT Applications

High-Performance, Highly Secure Networking for Industrial and IoT Applications High-Performance, Highly Secure Networking for Industrial and IoT Applications Table of Contents 2 Introduction 2 Communication Accelerators 3 Enterprise Network Lineage Features 5 Example applications

More information

TMS320C6678 Memory Access Performance

TMS320C6678 Memory Access Performance Application Report Lit. Number April 2011 TMS320C6678 Memory Access Performance Brighton Feng Communication Infrastructure ABSTRACT The TMS320C6678 has eight C66x cores, runs at 1GHz, each of them has

More information

Generic Model of I/O Module Interface to CPU and Memory Interface to one or more peripherals

Generic Model of I/O Module Interface to CPU and Memory Interface to one or more peripherals William Stallings Computer Organization and Architecture 7 th Edition Chapter 7 Input/Output Input/Output Problems Wide variety of peripherals Delivering different amounts of data At different speeds In

More information

Massively Parallel Processor Breadboarding (MPPB)

Massively Parallel Processor Breadboarding (MPPB) Massively Parallel Processor Breadboarding (MPPB) 28 August 2012 Final Presentation TRP study 21986 Gerard Rauwerda CTO, Recore Systems Gerard.Rauwerda@RecoreSystems.com Recore Systems BV P.O. Box 77,

More information

Silicon Media Limited. C4 2.5 SATA Solid State Drive Specification (7mm & 9mm height compatible) SMSS325MxxxG-C4-x

Silicon Media Limited. C4 2.5 SATA Solid State Drive Specification (7mm & 9mm height compatible) SMSS325MxxxG-C4-x Silicon Media Limited C4 2.5 SATA Specification (7mm & 9mm height compatible) SMSS325MxxxG-C4-x Version 1.2 Document Number: S-12157 ALL RIGHTS ARE STRICTLY RESERVED. ANY PORTION OF THIS PAPER SHALL NOT

More information

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual user s guide Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual Avnet Design Services 1 of 18 Rev 1.0 12/15/2004 Table of Contents 1 Overview... 5 2 Jumpers... 6 3 Personality Module Mechanicals... 8

More information

A design of real-time image processing platform based on TMS320C6678

A design of real-time image processing platform based on TMS320C6678 Advanced Materials Research Online: 2014-06-25 ISSN: 1662-8985, Vols. 971-973, pp 1454-1458 doi:10.4028/www.scientific.net/amr.971-973.1454 2014 Trans Tech Publications, Switzerland A design of real-time

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Classification of Semiconductor LSI

Classification of Semiconductor LSI Classification of Semiconductor LSI 1. Logic LSI: ASIC: Application Specific LSI (you have to develop. HIGH COST!) For only mass production. ASSP: Application Specific Standard Product (you can buy. Low

More information

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3 C11 Rugged 6U VPX Single-Slot SBC Freescale QorIQ Multicore SOC 1/8/4 e6500 Dual Thread Cores (T440/T4160/T4080) Altivec Unit Secure Boot and Trust Architecture.0 4 GB DDR3 with ECC 56 MB NOR Flash Memory

More information

OpenMP Accelerator Model for TI s Keystone DSP+ARM Devices. SC13, Denver, CO Eric Stotzer Ajay Jayaraj

OpenMP Accelerator Model for TI s Keystone DSP+ARM Devices. SC13, Denver, CO Eric Stotzer Ajay Jayaraj OpenMP Accelerator Model for TI s Keystone DSP+ Devices SC13, Denver, CO Eric Stotzer Ajay Jayaraj 1 High Performance Embedded Computing 2 C Core Architecture 8-way VLIW processor 8 functional units in

More information

Migrating RC3233x Software to the RC32434/5 Device

Migrating RC3233x Software to the RC32434/5 Device Migrating RC3233x Software to the RC32434/5 Device Application Note AN-445 Introduction By Harpinder Singh and Nebojsa Bjegovic Operating system kernels, board support packages, and other processor-aware

More information

The D igital Digital Logic Level Chapter 3 1

The D igital Digital Logic Level Chapter 3 1 The Digital Logic Level Chapter 3 1 Gates and Boolean Algebra (1) (a) A transistor inverter. (b) A NAND gate. (c) A NOR gate. 2 Gates and Boolean Algebra (2) The symbols and functional behavior for the

More information

Octopus: A Multi-core implementation

Octopus: A Multi-core implementation Octopus: A Multi-core implementation Kalpesh Sheth HPEC 2007, MIT, Lincoln Lab Export of this products is subject to U.S. export controls. Licenses may be required. This material provides up-to-date general

More information

2008/12/23. System Arch 2008 (Fire Tom Wada) 1

2008/12/23. System Arch 2008 (Fire Tom Wada) 1 Digital it Signal Processor System Arch 2008 (Fire Tom Wada) 1 Analog to Digital Shift System Arch 2008 (Fire Tom Wada) 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments

More information

Hello, and welcome to this presentation of the STM32L4 System Configuration Controller.

Hello, and welcome to this presentation of the STM32L4 System Configuration Controller. Hello, and welcome to this presentation of the STM32L4 System Configuration Controller. 1 Please note that this presentation has been written for STM32L47x/48x devices. The key differences with other devices

More information

Section 6 Blackfin ADSP-BF533 Memory

Section 6 Blackfin ADSP-BF533 Memory Section 6 Blackfin ADSP-BF533 Memory 6-1 a ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance Monitor JTAG/ Debug Core Processor LD0 32 LD1 32 L1 Data Memory SD32 DMA Mastered 32

More information

Introducing the AM57x Sitara Processors from Texas Instruments

Introducing the AM57x Sitara Processors from Texas Instruments Introducing the AM57x Sitara Processors from Texas Instruments ARM Cortex-A15 solutions for automation, HMI, vision, analytics, and other industrial and high-performance applications. Embedded Processing

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Organisasi Sistem Komputer

Organisasi Sistem Komputer LOGO Organisasi Sistem Komputer OSK 5 Input Output 1 1 PT. Elektronika FT UNY Input/Output Problems Wide variety of peripherals Delivering different amounts of data At different speeds In different formats

More information

Chapter 6 Storage and Other I/O Topics

Chapter 6 Storage and Other I/O Topics Department of Electr rical Eng ineering, Chapter 6 Storage and Other I/O Topics 王振傑 (Chen-Chieh Wang) ccwang@mail.ee.ncku.edu.tw ncku edu Feng-Chia Unive ersity Outline 6.1 Introduction 6.2 Dependability,

More information

DaVinci. DaVinci Processor CPU MHz

DaVinci. DaVinci Processor CPU MHz DaVinci DaVinci Processor CPU MHz Capture/ Display DM355** ARM926 216, 270 Capture/Display DM6467 + C64x+ TM /ARM926 600/300 Capture/Display DM648* C64x+ 720, 900 Capture/Display DM647* C64x+ 720, 900

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

Total IP Solution for Mobile Storage UFS & NAND Controllers

Total IP Solution for Mobile Storage UFS & NAND Controllers Total IP Solution for Mobile Storage UFS & NAND Controllers Yuping Chung Arasan Chip Systems San Jose, CA Mobile Forum Taiwan & Korea 2012 Fast Growing NAND Storage Markets GB(M) 15 10 5 Mobile SSD Tablet

More information

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 6 Intel Galileo and Edison Prototype Development Platforms 1 Intel Galileo Gen 2 Boards Based on the Intel Pentium architecture Includes features of single threaded, single core and 400 MHz constant

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: 1 Page Abstract... 3 Overview... 3 Associative Processing Unit (APU) Card... 3 Host-Device interface... 4 The APU Card Controller... 4 Host to Device Interactions... 5 APU

More information

Blackfin ADSP-BF533 External Bus Interface Unit (EBIU)

Blackfin ADSP-BF533 External Bus Interface Unit (EBIU) The World Leader in High Performance Signal Processing Solutions Blackfin ADSP-BF533 External Bus Interface Unit (EBIU) Support Email: china.dsp@analog.com ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction

More information

Sparrowhawk FX FPGA Video Processing Board

Sparrowhawk FX FPGA Video Processing Board Sparrowhawk FX FPGA Video Processing Board Overview Document MPI110501 Rev. 0.5 14.2.2012 Terms of use The reproduction, transmission or use of this document or its contents is not permitted without express

More information

Quality-of-Service for a High-Radix Switch

Quality-of-Service for a High-Radix Switch Quality-of-Service for a High-Radix Switch Nilmini Abeyratne, Supreet Jeloka, Yiping Kang, David Blaauw, Ronald G. Dreslinski, Reetuparna Das, and Trevor Mudge University of Michigan 51 st DAC 06/05/2014

More information

1 TMS320C6678 Features and Description

1 TMS320C6678 Features and Description Check for Evaluation Modules (EVM): TMS320C6678 TMS320C6678 SPRS691E November 2010 Revised March 2014 1 TMS320C6678 Features and Description 1.1 Features Eight TMS320C66x DSP Core Subsystems (C66x CorePacs),

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

Six-Core AMD Opteron Processor

Six-Core AMD Opteron Processor What s you should know about the Six-Core AMD Opteron Processor (Codenamed Istanbul ) Six-Core AMD Opteron Processor Versatility Six-Core Opteron processors offer an optimal mix of performance, energy

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Cyclone V Device Overview

Cyclone V Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Cyclone V Devices... 3 Summary of Cyclone V Features...4 Cyclone V Device Variants and Packages...

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

White paper FUJITSU Supercomputer PRIMEHPC FX100 Evolution to the Next Generation

White paper FUJITSU Supercomputer PRIMEHPC FX100 Evolution to the Next Generation White paper FUJITSU Supercomputer PRIMEHPC FX100 Evolution to the Next Generation Next Generation Technical Computing Unit Fujitsu Limited Contents FUJITSU Supercomputer PRIMEHPC FX100 System Overview

More information

Hi3536 H.265 Decoder Processor. Brief Data Sheet. Issue 03. Date

Hi3536 H.265 Decoder Processor. Brief Data Sheet. Issue 03. Date Hi3536 H.265 Decoder Processor Brief Data Sheet Issue 03 Date 2015-04-19 . 2014. All rights reserved. No part of this document may be reproduced or transmitted in any form or by any means without prior

More information