FPGA system development What you need to think about. Frédéric Leens, CEO

Size: px
Start display at page:

Download "FPGA system development What you need to think about. Frédéric Leens, CEO"

Transcription

1 FPGA system development What you need to think about Frédéric Leens, CEO

2 About Byte Paradigm 2005 : Founded by 3 ASIC-SoC-FPGA engineers as a Design Center for high-end FPGA and board design : GP Series PC Instruments for Test & Debug 2011 : Decision to capitalise on experience of FPGA + T&M Dec. 2014: Creation of Exostiv Labs a separate trade name of Byte Paradigm Focus: FPGA Debug & Verification

3 Byte Paradigm Design Services > 50 year (cumulated) experience in FPGA-based system design

4 ASIC vs FPGA 4 clichés 1. FPGA is a fall-back choice until I can pay ASIC s NRE. 2. FPGA is way too expensive. Where is my 3.00 FPGA? 3. FPGA is just a programmable ASIC. 4. FPGA is less powerful than an ASIC.

5 You know the usual story about ASIC... Source: Altera Source: Broadcom Source: Broadcom Source: Xilinx

6 FPGA design: What does it really cost? 8 engineers, 18 month Extended tool set More IP High-end board 960 k 2 engineers, 6 month Reduced tool set Free or no IP Low-cost board 4 engineers, 12 month Average tool set Some IP Avg board 340 k 96 k

7 Typical FPGA prices per unit 7 (~25 k gates 200 MHz+ 200 kb memory ~100 I/Os) to 1,400 (~2.5 M gates 500 MHz+ 21 Mb memory ~400 I/Os) Prices for 1 unit as seen on Digi-Key for: Spartan-6 XC6SLX4-2TQG144C and Kintex-7 Ultrascale XCKU040-2FFVA1156E

8 Some extreme figures... 25,000 (~10 M gates 500 MHz+ 47 Mb memory 1200 I/Os) Price for 1 unit as seen on Digi-Key for: XC7V2000T-G2FLG1925E-ND

9 FPGA: Beyond NRE... FPGA weigh in the BOM Engineering cost for maintenance / corrections IP fees per unit Cost of software Fast turn around Fast time-to-market Easy path to upgrades Potentially longer product life

10 Choosing the right FPGA

11 Choosing the right FPGA (2)

12 Choosing the right FPGA (3)

13 FPGA selection 2 very different cases DSP-like processor DSP primary usage 128 I/Os 50K ASIC gates 250 MHz clock SoC 1 GHz USB 3.x 100G Ethernet 500K ASIC gates 500 I/Os Large SW content

14 FPGA selection SoC Xilinx Zynq Ultrascale + ZU11EG ZU17EG ZU19EG Quad ARM Cortex-A GHz CPU USB to G Ethernet ~3M to 6M ASIC gates 416 to 572 I/Os Availability: 2016 for early access. No information on prices yet. SoC Multi-CPU 1 GHz CPU USB 3.x 100G Ethernet 500K ASIC gates 500 I/Os Large SW content

15 FPGA selection SoC Candidates: Xilinx Zynq Ultrascale + ZU11EG (~3M) ZU17EG (~6M) ZU19EG (~9M) (Avail. : 2016) Altera Stratix 10 SoC is a potential alternative.

16 FPGA selection DSP Altera Cyclone V 5CEA2 to 5CEA9 50 to x18 mult. 25 to 342 var. precision DSP F256 package with 128 I/O 150 k to 1.8 M gates 250 MHz on 27x27 mult with speed grade C6 (fast). (-C8 speed grade 9x9 mult at 260 MHz) DSP DSP primary usage 128 I/Os 50K ASIC gates 250 MHz clock Price: from 22 for 1 unit

17 Which FPGA vendor?! FPGA Vendors make choices for you! 1. Are the right IP available? 2. Does your team have prior experience with vendor V? 3. Benchmark if you want to, but *before* you have to choose 4. Try P&R on partial design with critical path early in the design cycle and check the impact on: Speed grade, package FPGA family and/or vendor 5. Equivalent gate should be used with care!

18 ASIC vs FPGA : the flow is different......but it is really up to you.

19 FPGA design flow variations - example

20 Why debug & verification is a hot topic Typical FPGA design project 72%* recognize the need to improve debug & verification * of the respondents to our survey

21 A word on Agile development process (1) Values : Individuals and interactions over processes and tools. Working software over comprehensive documentation. Customer collaboration over contract negotiation. Responding to change above following a plan. Consequences: Changing requirements Evolving products Adaptation to changing circumstances,... Impact on flow: from Waterfall to Agile Agile in the real life: Apps : we buy a now and a future Smartphone regular updates

22 FPGA rules for Agile The system must be... 1) Programmable and 2) Field-upgradeable: Configuration management with fallback Software access & hardware access Remote field-upgradeable? Keep upgradeability in mind: 3)! OVER-BUY FPGA!

23 10 steps to choose the right FPGA 1. I/Os Interfaces: define the numbers and types. Model your system as INPUT and OUTPUT flows. 2. Check your need for hard macros (IP)? Need for analog? 3. Check the available IPs. Which ones are free? 4. Price (consider total price over lifetime) 5. Consider multi-chip (or multi-fpga) alternatives Can be *very* cost-effective 6. Over-buy FPGA for prototype. Think to compatible packages Beware of implementation rules and take a margin. Be sceptical about the data sheet and go early to P&R. 7. Define the verification & debug strategy & check tools availability 8. Flow familiarity can let you save a lot since the cost of engineering is dominant 9.! Check chip REAL availability! 10. Rework your algorithm if no FPGA has sufficient resources for your needs.

24 Thank you.

25 Additional slides

26 ASIC vs FPGA : Know your skills 1. ASIC & FPGA flows are different and require different skills Not only HDL or System level Some advanced tools like assertion-based verification are commonplace for ASIC, but not for FPGA. ASIC back-end is a specialty The FPGA flow used to be a subset of the ASIC flow. Things are changing. The ability to (re)-program FPGA leads to conducting some verification steps in the lab. Like it or not, but you ll have to leave the comfort of your workstation. 2. FPGA is not so FPGA anymore Evolution towards system-level languages and methodologies New languages are getting in the pictures: HLS, Catapult, OpenCL FPGA covers very different product realities: from traditional FPGA to very complex system-on-chip

27 FPGA design: What does it really cost? FPGA 'NRE' ( ) Low cost Average High end Length Crew Salaries 57,600 57, , ,200 Software licenses 11,133 31, ,398 Vivado / Quartus: low 1,917 1,917 7,667 Vivado / Quartus / high 3,709 29,670 Simulator 2,816 2,816 11,264 22,528 Other software 6,400 6,400 12,800 51,200 IP licensing 64, ,000 64,000 Standard test board 1,085 2,557 14,390 Kintex-7 eval kit 1,085 1 K7 Avnet DSP kit 2,557 1 Virtex-7 eval kit 2,237 1 Virtex-7 ultrascale eval kit 4,477 1 Virtex-7 characterization kit 7,677 1 Target board 15,000 20,000 40,000 Low end 9,600 1 Mid range, incl. Layout and components 12,800 1 High end 25,600 1 Lab equipment 19,200 4,800 9,600 19,200 First Series 6,400 6,400 12,800 25,600 TOTAL 96, , ,789

28 Byte Paradigm PC-based T&M instruments

29 FPGA Debug & Verification: Exostiv Labs Experience in FPGA Design Test & Measurement Tools FPGA Debug Reloaded

Experiment 3. Digital Circuit Prototyping Using FPGAs

Experiment 3. Digital Circuit Prototyping Using FPGAs Experiment 3. Digital Circuit Prototyping Using FPGAs Masud ul Hasan Muhammad Elrabaa Ahmad Khayyat Version 151, 11 September 2015 Table of Contents 1. Objectives 2. Materials Required 3. Background 3.1.

More information

FPGA Technology and Industry Experience

FPGA Technology and Industry Experience FPGA Technology and Industry Experience Guest Lecture at HSLU, Horw (Lucerne) May 24 2012 Oliver Brndler, FPGA Design Center, Enclustra GmbH Silvio Ziegler, FPGA Design Center, Enclustra GmbH Content Enclustra

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

High-Tech-Marketing. Selecting an FPGA. By Paul Dillien

High-Tech-Marketing. Selecting an FPGA. By Paul Dillien High-Tech-Marketing Selecting an FPGA By Paul Dillien The Market In 2011 the total PLD market was $4.97B The FPGA portion was worth $4.1B 2 FPGA Applications The dominant applications have always been

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Lecturer: Alexander Jäger Course of studies: Technische Informatik Student number: 3158849 Date: 30.01.2015 30/01/15 Advanced FPGA Design Methodologies

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

Design Once with Design Compiler FPGA

Design Once with Design Compiler FPGA Design Once with Design Compiler FPGA The Best Solution for ASIC Prototyping Synopsys Inc. Agenda Prototyping Challenges Design Compiler FPGA Overview Flexibility in Design Using DC FPGA and Altera Devices

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Introduction to FPGA design Rakesh Gangarajaiah Rakesh.gangarajaiah@eit.lth.se Slides from Chenxin Zhang and Steffan Malkowsky WWW.FPGA What is FPGA? Field

More information

CE 435 Embedded Systems Spring 2018

CE 435 Embedded Systems Spring 2018 CE 435 Embedded Systems Spring 2018 Administrivia Introduction to Embedded Systems Nikos Bellas Electrical and Computer Engineering Department University of Thessaly CE435 - Embedded Systems 1 Administrivia

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

Zynq Ultrascale Mpsoc For The System Architect Logtel

Zynq Ultrascale Mpsoc For The System Architect Logtel We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with zynq ultrascale mpsoc

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Atlys (Xilinx Spartan-6 LX45)

Atlys (Xilinx Spartan-6 LX45) Boards & FPGA Systems and and Robotics how to use them 1 Atlys (Xilinx Spartan-6 LX45) Medium capacity Video in/out (both DVI) Audio AC97 codec 220 US$ (academic) Gbit Ethernet 128Mbyte DDR2 memory USB

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

Can High-Level Synthesis Compete Against a Hand-Written Code in the Cryptographic Domain? A Case Study

Can High-Level Synthesis Compete Against a Hand-Written Code in the Cryptographic Domain? A Case Study Can High-Level Synthesis Compete Against a Hand-Written Code in the Cryptographic Domain? A Case Study Ekawat Homsirikamol & Kris Gaj George Mason University USA Project supported by NSF Grant #1314540

More information

Zynq Ultrascale+ Architecture

Zynq Ultrascale+ Architecture Zynq Ultrascale+ Architecture Stephanie Soldavini and Andrew Ramsey CMPE-550 Dec 2017 Soldavini, Ramsey (CMPE-550) Zynq Ultrascale+ Architecture Dec 2017 1 / 17 Agenda Heterogeneous Computing Zynq Ultrascale+

More information

Altera SDK for OpenCL

Altera SDK for OpenCL Altera SDK for OpenCL A novel SDK that opens up the world of FPGAs to today s developers Altera Technology Roadshow 2013 Today s News Altera today announces its SDK for OpenCL Altera Joins Khronos Group

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator Embedded Computing Conference 2017 Matthias Frei zhaw InES Patrick Müller Enclustra GmbH 5 September 2017 Agenda Enclustra introduction

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

DINI Group. FPGA-based Cluster computing with Spartan-6. Mike Dini Sept 2010

DINI Group. FPGA-based Cluster computing with Spartan-6. Mike Dini  Sept 2010 DINI Group FPGA-based Cluster computing with Spartan-6 Mike Dini mdini@dinigroup.com www.dinigroup.com Sept 2010 1 The DINI Group We make big FPGA boards Xilinx, Altera 2 The DINI Group 15 employees in

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Supported Device Family (1) Supported User Interfaces. Simulation Models Supported S/W Drivers. Simulation. Notes:

Supported Device Family (1) Supported User Interfaces. Simulation Models Supported S/W Drivers. Simulation. Notes: LogiCORE IP CPRI v8.5 Introduction The LogiCORE IP Common Public Radio Interface (CPRI ) core is a high-performance, low-cost flexible solution for implementation of the CPRI interface. The core can be

More information

Understanding Peak Floating-Point Performance Claims

Understanding Peak Floating-Point Performance Claims white paper FPGA Understanding Peak ing-point Performance Claims Learn how to calculate and compare the peak floating-point capabilities of digital signal processors (DSPs), graphics processing units (GPUs),

More information

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Status of V5QV Many programs have built hardware and integrated into Spacecrafts awaiting for

More information

Hardware and Software Co-Design for Motor Control Applications

Hardware and Software Co-Design for Motor Control Applications Hardware and Software Co-Design for Motor Control Applications GianCarlo Pacitti Senior Application Engineer, MathWorks 2015 The MathWorks, Inc. 1 Agenda Why use Hardware and Software for motor control?

More information

Five Ways to Build Flexibility into Industrial Applications with FPGAs

Five Ways to Build Flexibility into Industrial Applications with FPGAs GM/M/A\ANNETTE\2015\06\wp-01154- flexible-industrial.docx Five Ways to Build Flexibility into Industrial Applications with FPGAs by Jason Chiang and Stefano Zammattio, Altera Corporation WP-01154-2.0 White

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

Working with Quad and Other SPI Protocols Testing and Debugging (Quad-) SPI-based ASIC, FPGA, SoC and Embedded Systems

Working with Quad and Other SPI Protocols Testing and Debugging (Quad-) SPI-based ASIC, FPGA, SoC and Embedded Systems Working with Quad and Other SPI Protocols Testing and Debugging (Quad-) SPI-based ASIC, FPGA, SoC and Embedded Systems By Alan Lowne, CEO, SaeligCo., Inc. and Frédéric Leens, sales and marketing manager,

More information

Melon S3 FPGA Development Board Product Datasheet

Melon S3 FPGA Development Board Product Datasheet Melon S3 FPGA Development Board Product Datasheet The Melon S3 FPGA is open-source, expandable development board perfect for the learning digital circuit design and prototyping of your unique ideas. You

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage silage@temple.edu ECE Temple University www.temple.edu/scdl Signal Processing Algorithms into Fixed Point FPGA Hardware Motivation

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Digital Systems Laboratory

Digital Systems Laboratory 2012 Fall CSE140L Digital Systems Laboratory by Dr. Choon Kim CSE Department UCSD 1 Welcome to CSE140L! 2 3-way Light Controller, 2-1 MUX, Majority Detector, 7- seg Display, Binary-to- Decimal converter.

More information

Xilinx(Ultrascale) Vs. Altera(ARRIA 10) Test Bench

Xilinx(Ultrascale) Vs. Altera(ARRIA 10) Test Bench Xilinx(Ultrascale) Vs. Altera(ARRIA 10) Test Bench By Roy Messinger www.hwdebugger.com roy.messinger@hwdebugger.com 1 1 GENERAL In the following document I will show a thorough comparison I've conducted

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Is SystemVerilog Useful for FPGA Design & Verification?

Is SystemVerilog Useful for FPGA Design & Verification? Is Useful for FPGA Design & Verification? ( Burn and Learn versus Learn and Burn ) Stuart Sutherland Wizard Sutherland HDL, Inc. Training engineers to be HDL wizards www.sutherland-hdl.com 2of 20 About

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

discrete logic do not

discrete logic do not Welcome to my second year course on Digital Electronics. You will find that the slides are supported by notes embedded with the Powerpoint presentations. All my teaching materials are also available on

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

FPGA Acceleration of the LFRic Weather and Climate Model in the EuroExa Project Using Vivado HLS

FPGA Acceleration of the LFRic Weather and Climate Model in the EuroExa Project Using Vivado HLS FPGA Acceleration of the LFRic Weather and Climate Model in the EuroExa Project Using Vivado HLS Mike Ashworth, Graham Riley, Andrew Attwood and John Mawer Advanced Processor Technologies Group School

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

Intel Arria 10 FPGA Performance Benchmarking Methodology and Results

Intel Arria 10 FPGA Performance Benchmarking Methodology and Results white paper FPGA Intel Arria 10 FPGA Performance Benchmarking Methodology and Results Intel Arria 10 FPGAs deliver more than a speed grade faster core performance and up to a 20% advantage for publicly

More information

Vivado Fpga Xilinx. Xilinx Vivado Vs Ise - S2i xilinx vivado vs ise user comparison of the fpga development tools 3/6 vivado 2013.

Vivado Fpga Xilinx. Xilinx Vivado Vs Ise - S2i xilinx vivado vs ise user comparison of the fpga development tools 3/6 vivado 2013. We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with vivado fpga xilinx.

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

ELEC 5260/6260/6266 Embedded Computing Systems

ELEC 5260/6260/6266 Embedded Computing Systems ELEC 5260/6260/6266 Embedded Computing Systems Spring 2019 Victor P. Nelson Text: Computers as Components, 4 th Edition Prof. Marilyn Wolf (Georgia Tech) Course Web Page: http://www.eng.auburn.edu/~nelsovp/courses/elec5260_6260/

More information

Extending the Power of FPGAs

Extending the Power of FPGAs Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of FPGAs and FPGA Programming IP-Centric Design with

More information

Ten (or so) Small Computers

Ten (or so) Small Computers Ten (or so) Small Computers by Jon "maddog" Hall Executive Director Linux International and President, Project Cauã 1 of 50 Who Am I? Half Electrical Engineer, Half Business, Half Computer Software In

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

Open Platform for Developing and Testing Smart Grid Automation Systems. Igor Alvarado National Instruments Corp.

Open Platform for Developing and Testing Smart Grid Automation Systems. Igor Alvarado National Instruments Corp. Open Platform for Developing and Testing Smart Grid Automation Systems Igor Alvarado National Instruments Corp. Panel 1: State of the Art: Modeling, Simulation, Testing and Calibration Facilities April

More information

Targeting Motor Control Algorithms to System-on-Chip Devices

Targeting Motor Control Algorithms to System-on-Chip Devices Targeting Motor Control Algorithms to System-on-Chip Devices Dr.-Ing. Werner Bachhuber 2015 The MathWorks, Inc. 1 Why use Model-Based Design to develop motor control applications on SoCs? Enables early

More information

Vivado HLx Design Entry. June 2016

Vivado HLx Design Entry. June 2016 Vivado HLx Design Entry June 2016 Agenda What is the HLx Design Methodology? New & Early Access features for Connectivity Platforms Creating Differentiated Logic 2 What is the HLx Design Methodology? Page

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

Benchmarking of Cryptographic Algorithms in Hardware. Ekawat Homsirikamol & Kris Gaj George Mason University USA

Benchmarking of Cryptographic Algorithms in Hardware. Ekawat Homsirikamol & Kris Gaj George Mason University USA Benchmarking of Cryptographic Algorithms in Hardware Ekawat Homsirikamol & Kris Gaj George Mason University USA 1 Co-Author Ekawat Homsirikamol a.k.a Ice Working on the PhD Thesis entitled A New Approach

More information

Teaching Computer Architecture with FPGA Soft Processors

Teaching Computer Architecture with FPGA Soft Processors Teaching Computer Architecture with FPGA Soft Processors Dr. Andrew Strelzoff 1 Abstract Computer Architecture has traditionally been taught to Computer Science students using simulation. Students develop

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

Choosing a Processor: Benchmarks and Beyond (S043)

Choosing a Processor: Benchmarks and Beyond (S043) Insight, Analysis, and Advice on Signal Processing Technology Choosing a Processor: Benchmarks and Beyond (S043) Jeff Bier Berkeley Design Technology, Inc. Berkeley, California USA +1 (510) 665-1600 info@bdti.com

More information

CMPE 415 Programmable Logic Devices Introduction

CMPE 415 Programmable Logic Devices Introduction Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices Introduction Prof. Ryan Robucci What are FPGAs? Field programmable Gate Array Typically re programmable as

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

FPGA Acceleration of the LFRic Weather and Climate Model in the EuroExa Project Using Vivado HLS

FPGA Acceleration of the LFRic Weather and Climate Model in the EuroExa Project Using Vivado HLS FPGA Acceleration of the LFRic Weather and Climate Model in the EuroExa Project Using Vivado HLS Mike Ashworth, Graham Riley, Andrew Attwood and John Mawer Advanced Processor Technologies Group School

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

Virtual Input/Output v3.0

Virtual Input/Output v3.0 Virtual Input/Output v3.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team 2015 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top down Workflow for SoC

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

FPGA introduction 2008

FPGA introduction 2008 FPGA introduction 2008 ecos is a registered trademark of ecoscentric Limited Øyvind Harboe, General Manager, Zylin AS What is an FPGA? Field Programmable Gate Array Not necessarily reprogrammable (anti-fuse,

More information

The Many Dimensions of SDR Hardware

The Many Dimensions of SDR Hardware The Many Dimensions of SDR Hardware Plotting a Course for the Hardware Behind the Software Sept 2017 John Orlando Epiq Solutions LO RFIC Epiq Solutions in a Nutshell Schaumburg, IL EST 2009 N. Virginia

More information

Handouts. 1. Project Guidelines and DSP Function Generator Design Specifications. (We ll discuss the project at the beginning of lab on Wednesday)

Handouts. 1. Project Guidelines and DSP Function Generator Design Specifications. (We ll discuss the project at the beginning of lab on Wednesday) Handouts Project-related documents 1. Project Guidelines and DSP Function Generator Design Specifications. (We ll discuss the project at the beginning of lab on Wednesday) FPGA-related documents 2. Introduction

More information

Vivado HLS Implementation of Round-2 SHA-3 Candidates

Vivado HLS Implementation of Round-2 SHA-3 Candidates Farnoud Farahmand ECE 646 Fall 2015 Vivado HLS Implementation of Round-2 SHA-3 Candidates Introduction NIST announced a public competition on November 2007 to develop a new cryptographic hash algorithm,

More information

Optimize DSP Designs and Code using Fixed-Point Designer

Optimize DSP Designs and Code using Fixed-Point Designer Optimize DSP Designs and Code using Fixed-Point Designer MathWorks Korea 이웅재부장 Senior Application Engineer 2013 The MathWorks, Inc. 1 Agenda Fixed-point concepts Introducing Fixed-Point Designer Overview

More information

I.6 40G LDPC Encoder/Decoder IP Core Specifccaton

I.6 40G LDPC Encoder/Decoder IP Core Specifccaton I.6 40G LDPC Encoder/Decoder IP Core Specifccaton I.6 40G LDPC Encoder/Decoder IP Core Relecase Informcaton Fecatures Delivercables IP Core Structure I.6 40G LDPC Encoder/Decoder IP Core Relecase Informcaton

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

H.264 AVC 4k Decoder V.1.0, 2014

H.264 AVC 4k Decoder V.1.0, 2014 SOC H.264 AVC 4k Video Decoder Datasheet System-On-Chip (SOC) Technologies 1. Key Features 1. Profile: High profile 2. Resolution: 4k (3840x2160) 3. Frame Rate: up to 60fps 4. Chroma Format: 4:2:0 or 4:2:2

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

AL8253 Core Application Note

AL8253 Core Application Note AL8253 Core Application Note 6-15-2012 Table of Contents General Information... 3 Features... 3 Block Diagram... 3 Contents... 4 Behavioral... 4 Synthesizable... 4 Test Vectors... 4 Interface... 5 Implementation

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

CMPE 310: Systems Design and Programming

CMPE 310: Systems Design and Programming : Systems Design and Programming Instructor: Chintan Patel Text: Barry B. Brey, 'The Intel Microprocessors, 8086/8088, 80186/80188, 80286, 80386, 80486, Pentium and Pentium Pro Processor, Pentium II, Pentium

More information

Typical applications where a CPLD may be the best design approach:

Typical applications where a CPLD may be the best design approach: By: Carlos Barberis, dba Bartek Technologies Description of Bartek s CPLD1 development board. For some of us CPLD s are familiar devices and for others just another acronym in the electronic device industry.

More information

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP?

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP? September 3, 2018 System-on-Chip Design (191210750) System-on-Chip Design for Embedded Systems (191211590) 2 OUTLINE Short general introduction to IC design Organization of this course 3 WHAT IS A SYSTEM-ON-CHIP?

More information