High-Tech-Marketing. Selecting an FPGA. By Paul Dillien

Size: px
Start display at page:

Download "High-Tech-Marketing. Selecting an FPGA. By Paul Dillien"

Transcription

1 High-Tech-Marketing Selecting an FPGA By Paul Dillien

2 The Market In 2011 the total PLD market was $4.97B The FPGA portion was worth $4.1B 2

3 FPGA Applications The dominant applications have always been wired and wireless communications 3

4 FPGA Family Target Applications Three sectors High performance Mid Range Low Cost 4

5 High Performance FPGA Logic Capability 5

6 High Performance FPGA Memory 6

7 Transceivers Advanced FPGA features Wide range available from 3 to 28 Gbps Complex DSP blocks 36 devices have >1000 Hard ARM processors Specialist interfaces: PCI Ethernet MACs DDR controllers SPI-4 Analog DSP hard macro taken from the Virtex-5 FPGA data sheet Reproduced by courtesy of Xilinx Inc. 7

8 28-nm FPGAs No shortage of choice at the latest node Altera plans 53 different devices 17 Stratix 14 Arria 22 Cyclone Xilinx plans 31 different devices 3 Artix 7 Kintex 17 Virtex 4 Zynq 8

9 FPGAs from recent start-ups (1) SiliconBlue Acquired by Lattice in Dec 2011 Low power CMOS for hand held applications such as smart phones and tablets NV OTP memory to hold configuration Complexities from 1k to 17k LEs Standby power 15 to 150 uw Small packages or die sales Prices below $1 in volume Shipped 10M devices 9

10 FPGAs from recent start-ups (2) Tabula ABAX family Spacetime product Virtual 3D Think of it as timeshared hardware reuse the same silicon up to 8 times Clocks at 1.6 GHz Published A1EC04 at $150 for 2k Tool chain is cloud-based Tabula concept of 3D as used in ABAX FPGAs Reproduced by courtesy of Tabula Inc. 10

11 FPGAs from recent start-ups (3) Achronix Speedster family asynchronous or clockless logic product Next generation will use Intel 22-nm Finfets 750 MHz product will offer 2.5 M LEs 1.5 GHz limited to 700k LUTs Achronix Speedster FPGAs use asynchronous signalling internally to reduce delays Reproduced by courtesy of Achronix Semiconductor 11

12 FPGAs costs and prices (1) Device price book Set base price Add package option deltas Add speed and temperature deltas Repeat across family members 12

13 FPGAs costs and prices (2) Cost per 1k Logic Element (LE) using 1+ prices Spartan-6 ranges from $1.08 to $3.08 Virtex-6 ranges from $7.13 to $17.50 The Virtex cost per 1k LE is 6X compared to Spartan Altera has a similar profile 13

14 FPGAs costs and prices (3) Typical adders Spartan-6 Virtex-6 Speed grade 10% 25 to 40% Temperature grade 15% 40% Package delta per pin Reductions since July to 12 cents 25 to 70 cents 18% 15% to 30% 14

15 FPGAs costs and prices (4) Key priorities to minimise costs Target low cost family if possible Target slowest speed grade Target smallest package Target latest technology 15

16 FPGAs costs and prices (5) Options for Procurement Price projections for when production is expected Against realistic production quantity Options for Design Engineering Floorplan P&R settings to maximum Synthesis tools Pipelining/clock doubling Logic duplication Partitioning Reproduced by courtesy of Xilinx Inc. 16

17 FPGAs costs and prices (6) Pipelining/clock doubling An actual example Range of MACsec cores -1G, 10G and 40Gbps Key elements are encryption cores in Tx and Rx Add pipelining to get from 1G to 10G Clock doubling from 10 to 40G Throughput 1G 10G 40G Slice LUTs 17,031 32,119 42,350 RAM 18K RAM 36K Reproduced by courtesy of Algotronix Ltd. 17

18 FPGAs partitioning for lower cost solution 18

19 Selecting an FPGA - Summary Wide range of complexities, technologies and capabilities are available Start-ups looking for a niche Significant cost reductions are possible with careful device selection Take a flexible engineering approach 19

20 Paul Dillien Contact Details Tel: For information on The FPGA Report and FPGA Comparison Chart visit: Vendor Family Part Number Equivalent LEs Block RAM Maximum Kbits I/O Core Voltage pll/dlls Transceivers DSP Blocks Achronix Speedster SPD ,840 10, Altera Arria II GX EP2AGX ,165 9, Altera Arria V GX EP5AGXA5 190,000 11, variable Altera Stratix IV GX EP4SGX ,000 13, Lattice ECP3 ECP ,000 6, Lattice LatticeECP ECP ,000 6, Xilinx Virtex-4 FX XC4VFX ,408 10, Xilinx Virtex-5 FXT XC5VFX200T 196,608 16, Xilinx Virtex-5 LXT XC5VLX155T 155,648 7, Xilinx Virtex-5 TXT XC5VTX150T 148,480 8, Xilinx Virtex-6 CXT XC6VCX195T 199,680 12, Xilinx Virtex-6 LXT XC6VLX195T 199,680 12, or

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

ECE 448 Lecture 5. FPGA Devices

ECE 448 Lecture 5. FPGA Devices ECE 448 Lecture 5 FPGA Devices George Mason University Required reading Spartan-6 FPGA Configurable Logic Block: User Guide CLB Overview Slice Description 2 Recommended reading Highly recommended for the

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Introduction to FPGA design Rakesh Gangarajaiah Rakesh.gangarajaiah@eit.lth.se Slides from Chenxin Zhang and Steffan Malkowsky WWW.FPGA What is FPGA? Field

More information

I.6 40G LDPC Encoder/Decoder IP Core Specifccaton

I.6 40G LDPC Encoder/Decoder IP Core Specifccaton I.6 40G LDPC Encoder/Decoder IP Core Specifccaton I.6 40G LDPC Encoder/Decoder IP Core Relecase Informcaton Fecatures Delivercables IP Core Structure I.6 40G LDPC Encoder/Decoder IP Core Relecase Informcaton

More information

DINI Group. FPGA-based Cluster computing with Spartan-6. Mike Dini Sept 2010

DINI Group. FPGA-based Cluster computing with Spartan-6. Mike Dini  Sept 2010 DINI Group FPGA-based Cluster computing with Spartan-6 Mike Dini mdini@dinigroup.com www.dinigroup.com Sept 2010 1 The DINI Group We make big FPGA boards Xilinx, Altera 2 The DINI Group 15 employees in

More information

Experiment 3. Digital Circuit Prototyping Using FPGAs

Experiment 3. Digital Circuit Prototyping Using FPGAs Experiment 3. Digital Circuit Prototyping Using FPGAs Masud ul Hasan Muhammad Elrabaa Ahmad Khayyat Version 151, 11 September 2015 Table of Contents 1. Objectives 2. Materials Required 3. Background 3.1.

More information

FPGA system development What you need to think about. Frédéric Leens, CEO

FPGA system development What you need to think about. Frédéric Leens, CEO FPGA system development What you need to think about Frédéric Leens, CEO About Byte Paradigm 2005 : Founded by 3 ASIC-SoC-FPGA engineers as a Design Center for high-end FPGA and board design. 2007 : GP

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

Reed-Solomon Encoder/Decoder IP core Specifccaton

Reed-Solomon Encoder/Decoder IP core Specifccaton Reed-Solomon Encoder/Decoder IP core Specifccaton Reed-Solomon Encoder/Decoder IP core Relecase Informcaton Fecatures Delivercables IP Core Structure Port Mcap Reed-Solomon Encoder/Decoder IP core Relecase

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

Speedster22i FPGA Family Power Estimator Tool User Guide. UG054- July 28, 2015

Speedster22i FPGA Family Power Estimator Tool User Guide. UG054- July 28, 2015 Speedster22i FPGA Family Power Estimator Tool User Guide UG054- July 28, 2015 1 UG054, July 28, 2015 Copyright Info Copyright 2015 Achronix Semiconductor Corporation. All rights reserved. Achronix is a

More information

Verifying that your FPGA is correctly connected on a PCB. AltiumLive 2017: ANNUAL PCB DESIGN SUMMIT. München October. Willem Gruter Product manager

Verifying that your FPGA is correctly connected on a PCB. AltiumLive 2017: ANNUAL PCB DESIGN SUMMIT. München October. Willem Gruter Product manager Verifying that your FPGA is correctly connected on a PCB AltiumLive 2017: ANNUAL PCB DESIGN SUMMIT Willem Gruter Product manager München October Agenda 1 Problem description 2 3 4 5 IO Checker approach

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

Quartus II Software Device Support Release Notes

Quartus II Software Device Support Release Notes Quartus II Software Device Support Release Notes May 2009 RN-01045-1.0 This document provides late-breaking information about device support in this version of the Altera Quartus II software. For information

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2014.09.22 M10-OVERVIEW Subscribe MAX 10 devices are the industry s first single chip, non-volatile programmable logic devices (PLDs) to integrate the optimal set of system components. The following lists

More information

Understanding Peak Floating-Point Performance Claims

Understanding Peak Floating-Point Performance Claims white paper FPGA Understanding Peak ing-point Performance Claims Learn how to calculate and compare the peak floating-point capabilities of digital signal processors (DSPs), graphics processing units (GPUs),

More information

ECE 645: Lecture 1. Basic Adders and Counters. Implementation of Adders in FPGAs

ECE 645: Lecture 1. Basic Adders and Counters. Implementation of Adders in FPGAs ECE 645: Lecture Basic Adders and Counters Implementation of Adders in FPGAs Required Reading Behrooz Parhami, Computer Arithmetic: Algorithms and Hardware Design Chapter 5, Basic Addition and Counting,

More information

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4 DS710 April 19, 2010 Introduction The LogiCORE IP Virtex -6 FPGA Embedded Tri- Mode Ethernet MAC Wrapper automates the generation of HDL wrapper files for the Embedded Tri- Mode Ethernet MAC (Ethernet

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7 DS550 April 19, 2010 Virtex-5 FPGA Embedded Tri-Mode Wrapper v1.7 Introduction The LogiCORE IP Virtex -5 FPGA Embedded Tri-Mode Wrapper automates the generation of HDL wrapper files for the Embedded Tri-Mode

More information

Intel MAX 10 FPGA Device Overview

Intel MAX 10 FPGA Device Overview Intel MAX 10 FPGA Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Key Advantages of Intel MAX 10 Devices... 3 Summary of Intel MAX 10 Device Features...

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

ECE 448 Lecture 5. FPGA Devices

ECE 448 Lecture 5. FPGA Devices E 448 Lecture 5 FPGA evices E 448 FPGA and ASIC esign with VHL George Mason University Required reading 7 Series FPGAs Configurable Logic Block: User Guide Overview Functional etails 2 What is an FPGA?

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Lecturer: Alexander Jäger Course of studies: Technische Informatik Student number: 3158849 Date: 30.01.2015 30/01/15 Advanced FPGA Design Methodologies

More information

Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 10 Devices to Achieve Maximum Power Reduction

Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 10 Devices to Achieve Maximum Power Reduction white paper FPGA Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 1 s to Achieve Maximum Reduction devices leverage the innovative Intel HyperFlex FPGA architecture to achieve power savings

More information

Lecture 41: Introduction to Reconfigurable Computing

Lecture 41: Introduction to Reconfigurable Computing inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 41: Introduction to Reconfigurable Computing Michael Le, Sp07 Head TA April 30, 2007 Slides Courtesy of Hayden So, Sp06 CS61c Head TA Following

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2016.05.02 M10-OVERVIEW Subscribe MAX 10 devices are single-chip, non-volatile low-cost programmable logic devices (PLDs) to integrate the optimal set of system components. The highlights of the MAX 10

More information

Quartus II Software Version 10.0 Device Support Release Notes

Quartus II Software Version 10.0 Device Support Release Notes Quartus II Software Version 10.0 Device Support Release Notes July 2010 RN-01055 This document provides late-breaking information about device support in the 10.0 version of the Altera Quartus II software.

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

LogiCORE IP Serial RapidIO v5.6

LogiCORE IP Serial RapidIO v5.6 DS696 March 1, 2011 Introduction The LogiCORE IP Serial RapidIO Endpoint solution comprises a highly flexible and optimized Serial RapidIO Physical Layer core and a Logical (I/O) and Transport Layer interface.

More information

easic Technology & Nextreme Architecture

easic Technology & Nextreme Architecture easic Technology & Nextreme Architecture Tomer Kabakov Director of Sales tomer@easic.com Tel: 054-4304032 1 easic at a Glance Fabless Semiconductor Company Provider of Structured ASIC Products Founded

More information

English Japanese

English   Japanese Spartan -6 FPGA Consumer Video Kit FAQ General Questions: Q: What is the Spartan -6 FPGA Consumer Video Kit? A: The Spartan-6 FPGA Consumer Video Kit (CVK) consists of a Spartan-6 LX150T base board, four

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Quartus II Software Version 10.0 SP1 Device Support

Quartus II Software Version 10.0 SP1 Device Support Quartus II Software Version 10.0 SP1 Device Support RN-01057 Release Notes This document provides late-breaking information about device support in the 10.0 SP1 version of the Altera Quartus II software.

More information

Atmel Corporation Product Training. FPGA/Configurator. Guy Lafayette/Itsu Wang/Yad Dhami

Atmel Corporation Product Training. FPGA/Configurator. Guy Lafayette/Itsu Wang/Yad Dhami Atmel Corporation 2004 Product Training FPGA/Configurator Guy Lafayette/Itsu Wang/Yad Dhami A full spectrum of density & package options What is a Configurator?? It is a NVM memory used to configure all

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Xilinx(Ultrascale) Vs. Altera(ARRIA 10) Test Bench

Xilinx(Ultrascale) Vs. Altera(ARRIA 10) Test Bench Xilinx(Ultrascale) Vs. Altera(ARRIA 10) Test Bench By Roy Messinger www.hwdebugger.com roy.messinger@hwdebugger.com 1 1 GENERAL In the following document I will show a thorough comparison I've conducted

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features ML501 Purpose: General purpose FPGA development board. Board Part Number: HW-V5-ML501-UNI-G Device Supported: XC5VLX50FFG676 Price: $995 The ML501 is a feature-rich and low-cost evaluation/development

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx series Technical data Memory: DDR RAM 128 MB FLASH 128 MB Interfaces: Ethernet (LAN) RS422, RS232 (not EC900, EC910, EC1000, EC1010) EtherNet / IP PROFINET

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Stratix II vs. Virtex-4 Performance Comparison

Stratix II vs. Virtex-4 Performance Comparison White Paper Stratix II vs. Virtex-4 Performance Comparison Altera Stratix II devices use a new and innovative logic structure called the adaptive logic module () to make Stratix II devices the industry

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

FYSE420 DIGITAL ELECTRONICS. Lecture 7

FYSE420 DIGITAL ELECTRONICS. Lecture 7 FYSE420 DIGITAL ELECTRONICS Lecture 7 1 [1] [2] [3] DIGITAL LOGIC CIRCUIT ANALYSIS & DESIGN Nelson, Nagle, Irvin, Carrol ISBN 0-13-463894-8 DIGITAL DESIGN Morris Mano Fourth edition ISBN 0-13-198924-3

More information

Economic Feasibility of 400G Ethernet MAC/PCS/FEC/PMA

Economic Feasibility of 400G Ethernet MAC/PCS/FEC/PMA Economic Feasibility of 400G Ethernet MAC/PCS/FEC/PMA Mike Peng Li, Gregg Baeckler, Martin Langhammer, Divya Vijayaraghavan, Paul Scheidt, Curt Wortman Altera Corporation For IEEE 400G SG Jan, 2014 1 Context

More information

Table 1: Example Implementation Statistics for Xilinx FPGAs

Table 1: Example Implementation Statistics for Xilinx FPGAs logijpge Motion JPEG Encoder January 10 th, 2018 Data Sheet Version: v1.0 Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: support@logicbricks.com

More information

VHX - Xilinx - FPGA Programming in VHDL

VHX - Xilinx - FPGA Programming in VHDL Training Xilinx - FPGA Programming in VHDL: This course explains how to design with VHDL on Xilinx FPGAs using ISE Design Suite - Programming: Logique Programmable VHX - Xilinx - FPGA Programming in VHDL

More information

7-Series Architecture Overview

7-Series Architecture Overview 7-Series Architecture Overview Zynq Vivado 2013.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Describe the

More information

Vendor Agnostic, High Performance, Double Precision Floating Point Division for FPGAs

Vendor Agnostic, High Performance, Double Precision Floating Point Division for FPGAs Vendor Agnostic, High Performance, Double Precision Floating Point Division for FPGAs Presented by Xin Fang Advisor: Professor Miriam Leeser ECE Department Northeastern University 1 Outline Background

More information

H100 Series FPGA Application Accelerators

H100 Series FPGA Application Accelerators 2 H100 Series FPGA Application Accelerators Products in the H100 Series PCI-X Mainstream IBM EBlade H101-PCIXM» HPC solution for optimal price/performance» PCI-X form factor» Single Xilinx Virtex 4 FPGA

More information

Arria V GX Transceiver Starter Kit

Arria V GX Transceiver Starter Kit Page 1 of 4 Arria V GX Transceiver Starter Kit from Altera Ordering Information Transceiver Starter Kit Contents Starter Board Photo Related Links The Altera Arria V GX Transceiver Starter Kit provides

More information

Quartus II Software Device Support Release Notes

Quartus II Software Device Support Release Notes Quartus II Software Device Support Release Notes November 2009 RN-01049-1.0 This document provides late-breaking information about device support in this version of the Altera Quartus II software. For

More information

1. Overview for the Arria II Device Family

1. Overview for the Arria II Device Family 1. Overview for the Arria II Device Family July 2012 AIIGX51001-4.4 AIIGX51001-4.4 The Arria II device family is designed specifically for ease-of-use. The cost-optimized, 40-nm device family architecture

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

Quartus II Software Version 9.1 SP2 Device Support Release Notes

Quartus II Software Version 9.1 SP2 Device Support Release Notes Quartus II Software Version 9.1 SP2 Device Support Release Notes March 2010 RN-01053 This document provides late-breaking information about device support in this version of the Altera Quartus II software.

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

The Many Dimensions of SDR Hardware

The Many Dimensions of SDR Hardware The Many Dimensions of SDR Hardware Plotting a Course for the Hardware Behind the Software Sept 2017 John Orlando Epiq Solutions LO RFIC Epiq Solutions in a Nutshell Schaumburg, IL EST 2009 N. Virginia

More information

Zynq Ultrascale+ Architecture

Zynq Ultrascale+ Architecture Zynq Ultrascale+ Architecture Stephanie Soldavini and Andrew Ramsey CMPE-550 Dec 2017 Soldavini, Ramsey (CMPE-550) Zynq Ultrascale+ Architecture Dec 2017 1 / 17 Agenda Heterogeneous Computing Zynq Ultrascale+

More information

1GbEth. Access Switch. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example

1GbEth. Access Switch. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example 1 Introduction Ethernet is available in different speeds (10/100/1000 and 10000Mbps) and provides connectivity to meet a wide range of needs from desktop to switches. MorethanIP IP solutions provide a

More information

Graduate course on FPGA design

Graduate course on FPGA design History of and programmable logic February 15, 2010 History of and programmable logic History of and programmable logic History of and programmable logic Course planning Lectures Laboration 4 HP for actively

More information

PowerPlay Early Power Estimator User Guide

PowerPlay Early Power Estimator User Guide PowerPlay Early Power Estimator 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01070-7.1 Feedback Subscribe 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX,

More information

Natalie Enright Jerger, Jason Anderson, University of Toronto November 5, 2010

Natalie Enright Jerger, Jason Anderson, University of Toronto November 5, 2010 Next Generation FPGA Research Natalie Enright Jerger, Jason Anderson, and Ali Sheikholeslami l i University of Toronto November 5, 2010 Outline Part (I): Next Generation FPGA Architectures Asynchronous

More information

H.264 AVC 4k Decoder V.1.0, 2014

H.264 AVC 4k Decoder V.1.0, 2014 SOC H.264 AVC 4k Video Decoder Datasheet System-On-Chip (SOC) Technologies 1. Key Features 1. Profile: High profile 2. Resolution: 4k (3840x2160) 3. Frame Rate: up to 60fps 4. Chroma Format: 4:2:0 or 4:2:2

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

PCE03D DVB RCS/ WiMAX Turbo Encoder. Small World Communications. PCE03D Features. Introduction. Signal Descriptions

PCE03D DVB RCS/ WiMAX Turbo Encoder. Small World Communications. PCE03D Features. Introduction. Signal Descriptions PCED DVB RCS/ WiMAX Turbo Encoder Product Specification PCED Features 8 state DVB RCS and IEEE 8.6 WiMAX compatible Rate /, /5, /, /, /4, 4/5, 5/6, 6/7, 7/8 with reverse output option Automatic puncturing

More information

Survey of Commercially available chips and IP cores implementing cryptographic algorithms

Survey of Commercially available chips and IP cores implementing cryptographic algorithms Survey of Commercially available chips and IP cores implementing cryptographic algorithms Prepared by - Micheal Dugan, Prajakta Gogte, Prerna Arora Prepared for - ECE 646, Prof. Kris Gaj December 19, 2005

More information

Supported Device Family (1) Supported User Interfaces. Simulation Models Supported S/W Drivers. Simulation. Notes:

Supported Device Family (1) Supported User Interfaces. Simulation Models Supported S/W Drivers. Simulation. Notes: LogiCORE IP CPRI v8.5 Introduction The LogiCORE IP Common Public Radio Interface (CPRI ) core is a high-performance, low-cost flexible solution for implementation of the CPRI interface. The core can be

More information

User Manual for FC100

User Manual for FC100 Sundance Multiprocessor Technology Limited User Manual Form : QCF42 Date : 6 July 2006 Unit / Module Description: IEEE-754 Floating-point FPGA IP Core Unit / Module Number: FC100 Document Issue Number:

More information

Use of Embedded FPGA Resources in Implementations of Five Round Three SHA-3 Candidates

Use of Embedded FPGA Resources in Implementations of Five Round Three SHA-3 Candidates Use of Embedded FPGA Resources in Implementations of Five Round Three SHA-3 Candidates Malik Umar Sharif, Rabia Shahid, Marcin Rogawski and Kris Gaj George Mason University, USA Agenda SHA-3 High Speed

More information

Building blocks for custom HyperTransport solutions

Building blocks for custom HyperTransport solutions Building blocks for custom HyperTransport solutions Holger Fröning 2 nd Symposium of the HyperTransport Center of Excellence Feb. 11-12 th 2009, Mannheim, Germany Motivation Back in 2005: Quite some experience

More information

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013.

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013. 2499-1 International Training Workshop on FPGA Design for Scientific Instrumentation and Computing 11-22 November 2013 FPGA Introduction Cristian SISTERNA National University of San Juan San Juan Argentina

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB FPGA Lab Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB Objective In this Lab, you will learn the basic set-up and design methods of implementing your design by ISE 10.1. Create

More information

Cyclone III LS FPGAs Altera Corporation Public

Cyclone III LS FPGAs Altera Corporation Public Cyclone III LS FPGAs Introducing Cyclone III LS Devices Low power 200K LE for under 0.25 Watt TSMC 60-nm low-power (LP) process Quartus II software power-aware design flow Broadcast Industrial Military

More information

Fibre Channel Arbitrated Loop v2.3

Fibre Channel Arbitrated Loop v2.3 - THIS IS A DISCONTINUED IP CORE - 0 Fibre Channel Arbitrated Loop v2.3 DS518 March 24, 2008 0 0 Introduction The LogiCORE IP Fibre Channel Arbitrated Loop (FC-AL) core provides a flexible, fully verified

More information

Xilinx 7 Series FPGA Power Benchmark Design Summary

Xilinx 7 Series FPGA Power Benchmark Design Summary Xilinx 7 Series FPGA Power Benchmark Design Summary June 1 Copyright 1 1 Xilinx Xilinx Application-centric Benchmarking Process 1G Packet Processor OTN Muxponder ASIC Emulation Wireless Radio & Satellite

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Technology Roadmap 2002

Technology Roadmap 2002 2002 Technology Roadmap Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

More information

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage silage@temple.edu ECE Temple University www.temple.edu/scdl Signal Processing Algorithms into Fixed Point FPGA Hardware Motivation

More information

PXIe FPGA board SMT G Parker

PXIe FPGA board SMT G Parker Form : QCF51 Date : 6 July 2006 PXIe FPGA board SMT700 1.5 20 th November 2009 G Parker Sundance Multiprocessor Technology Ltd, Chiltern House, Waterside, Chesham, Bucks. HP5 1PS. This document is the

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

FPGA Clock Manager Resource Usage

FPGA Clock Manager Resource Usage Summary This quick reference provides detailed information about resource usage of all presynthesized Clock Manager cores. Core Reference CR0128 (v1.10) July 17, 2008 Clock Manager The available Clock

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Design Compilation Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Design Compilation...

More information

Handouts. FPGA-related documents

Handouts. FPGA-related documents Handouts FPGA-related documents 1. Introduction to Verilog, Verilog P. M. Nyasulu and J. Knight, Carleton University, 2003 (Ottawa, Canada). 2. Quick Reference for Verilog HDL, HDL R. Madhavan, AMBIT Design

More information

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Getting Started Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your ML605 kit online at: http://www.xilinx.com/onlinestore/v6_boards.htm

More information

Introduction of the Research Based on FPGA at NICS

Introduction of the Research Based on FPGA at NICS Introduction of the Research Based on FPGA at NICS Rong Luo Nano Integrated Circuits and Systems Lab, Department of Electronic Engineering, Tsinghua University Beijing, 100084, China 1 luorong@tsinghua.edu.cn

More information

Soft processors as a prospective platform of the future

Soft processors as a prospective platform of the future Procedia Computer Science Volume 88, 2016, Pages 294 299 7th Annual International Conference on Biologically Inspired Cognitive Architectures, BICA 2016 Soft processors as a prospective platform of the

More information