Chapter 8 FPGA Basics

Size: px
Start display at page:

Download "Chapter 8 FPGA Basics"

Transcription

1 Chapter 8 FPGA Basics NCHU EE Yin-Tsung Hwang YT Hwang VLSI SP 1 What are PLs? YT Hwang VLSI SP 2

2 Programmable Logic evices A pre-fabricated ASIC capable of performing any logic subject to user programming compromise between the semi-custom ASICs and standard components a collection of logic elements placed in a programmable interconnection framework fast design turn around time field programmable EPROM, E 2 PROM, Flash, SRAM based YT Hwang VLSI SP 3 PL Programmability (1) programmable combinational logic PT-based, (look-up table) PT-based building block 2-level logic, high fan-in -based building block 4-5 inputs, fine-grain arch. ROM like YT Hwang VLSI SP 4

3 PL Programmability (2) programmable register register type, register control YT Hwang VLSI SP 5 PL Programmability (3) programmable interconnect routing resources including switching elements, local/global lines, clock buffers YT Hwang VLSI SP 6

4 PL Programmability (4) programmable I/O direction, I/O register, 3-state, slew rate YT Hwang VLSI SP 7 Field Programmability can verify designs at any time by configuring the FPGA/ CPL devices on board via the download cable or hardware programmer YT Hwang VLSI SP 8

5 PL Classifications General classification Simple programmable logic device (SPL) Complex programmable logic device (CPL) Field programmable gate array (FPGA) Classification by programming technology Fuse, anti-fuse (OTP) EPROM, EEPROM, Flash (multiple programming) SRAM (volatile, need configuration when power up) Classification by routing structures Segmented (incremental) routing Continuous routing YT Hwang VLSI SP 9 Simple PL Programmable AN/OR array Sum-Of-Product (SOP) to implement Boolean functions facilitated with FFs, output macros, and feedback path foldback architecture low density, low cost, fixed delay examples: PAL, GAL, PEEL, FPLA YT Hwang VLSI SP 10

6 PAL Architecture YT Hwang VLSI SP 11 Complex PL architecture YT Hwang VLSI SP 12

7 Field Programmable Gate Array architecture originates from gate array 2- array of programmable logic blocks (cells) programmable / incremental interconnect less predictable timing, place &routing is crucial matrix based architecture Xilinx XC4000, Spartan, Virtex, uicklogic Row based architecture Actel ACT families Continuous interconnect architecture Altera Flex 8K/10K, APEX YT Hwang VLSI SP 13 Generic FPGA logic cell 16X1 Mcell Primary Inputs Carry logic Look-Up Table (RAM) Macrocell I/O cells Logic cell YT Hwang VLSI SP 14

8 Continuous v.s. Segmented CROSS BAR continuous segmented YT Hwang VLSI SP 15 Rapid Prototyping & System Verification To see is to believe The ASIC respin cost is too high Verification at lower speed YT Hwang VLSI SP 16

9 Low cost solution of FPGAs Hardcopy technology Reduced die area Only two mask layer cost YT Hwang VLSI SP 17 Latest FPGA Features Advanced process For example, Xilinx Spartan III use 90nm process Next generation Virtex FPGA will contain 1G transistors in 70nm process High logic gate count Up to millions of logic gates Large on chip memory From several K bits to several M bits On chip processor ARM 7/9, PowerPC On chip multiplier/sp High speed I/O Up to 3.125Gbps YT Hwang VLSI SP 18

10 What s inside? Altera Excalibur ual-port RAM Single-Port RAM ARM922T Core Processor + Memory + 1,000,000 plus logic capacity PL Area for Customer esign YT Hwang VLSI SP 19 SoPC example Stripe PRAM ual-port RAM Interface AMBA Bus Interface Ethernet Controller Media Independent Interface SRAM (Single Port) Logic AMBA Bus Interface Nios CPU ATM Cell Processor 33-MHz Utopia-2 PHY Manager SRAM Interface Flash Interface PLLs SRAM Controller EBI Bridge Bridge ARM Processor Master Port Slave Port AMBA Bus Interface AMBA Bus Interface PCI Controller Custom Logic PCI YT Hwang VLSI SP 20

11 FPGA Architecture Overview YT Hwang VLSI SP 21 The Spartan-IIE Solution More Than Just Silicon I/O Connectivity SelectIO TM Technology Support major I/O standards Memory Resources SRL16 registers istributed Memory Block Memory External Memory I O B I O B LL R A M R A M CLB CLB R A M CLB IOB... IOB... CLB LL R A M I O B I O B LL IOB IOB LL Logic & Routing Flexible logic implementation Vector Based Routing Internal 3-State bussing System Clock Management igital elay Lock Loops (LLs) YT Hwang VLSI SP 22

12 CLB Structure COUT COUT G4 G3 G2 G1 F5IN BY SR F4 F3 F2 F1 Look-Up Table O Look-Up Table O Carry & Control Logic Carry & Control Logic YB Y XB X S CK EC R S CK EC R G4 G3 G2 G1 F5IN BY SR F4 F3 F2 F1 Look-Up Table O Look-Up Table O Carry & Control Logic Carry & Control Logic YB Y XB X S CK EC R S CK EC R CIN CLK CE SLICE CIN CLK CE SLICE Each slice has 2 -FF pairs with associated carry logic Two 3-state buffers (BUFT) associated with each CLB, accessible by all CLB outputs YT Hwang VLSI SP 23 CLB Slice Structure Each slice contains two sets of the following: Four-input Any 4-input logic function Or 16-bit x 1 sync RAM Or 16-bit shift register Carry & Control Fast arithmetic logic Multiplier logic Multiplexer logic Storage element Latch or flip-flop Set and reset True or inverted inputs Sync. or async. control

13 edicated Expansion Multiplexers MUXF5 combines 2 s to create 4x1 multiplexer Or any 5-input function (5) Or selected functions up to 9 inputs MUXF6 combines 2 slices to form 8x1 multiplexer Or any 6-input function (6) Or selected functions up to 19 inputs edicated muxes are faster and more space efficient CLB Slice Slice MUXF5 MUXF5 MUXF6 YT Hwang VLSI SP 26

14 istributed RAM CLB configurable as istributed RAM A equals 16x1 RAM Implements Single and ual- Ports Cascade s to increase RAM size Synchronous write Synchronous/Asynchronous read Accompanying flip-flops used for synchronous read RAM32X1S WE WCLK A0 A1 A2 A3 A4 or = = O RAM16X1S WE WCLK A0 A1 A2 A3 RAM16X2S 0 1 WE WCLK A0 A1 A2 A3 or O0 O1 O RAM16X1 WE WCLK A0 SPO A1 A2 A3 PRA0 PO PRA1 PRA2 PRA3 YT Hwang VLSI SP 27 Shift Register Each can be configured as shift register Serial in, serial out ynamically addressable delay up to 16 cycles For programmable pipeline Cascade for greater cycle delays Use CLB flip-flops to add depth IN CE CLK = CE CE CE CE OUT EPTH[3:0] YT Hwang VLSI SP 28

15 Shift Register Cycles Operation A Operation B 4 Cycles 8 Cycles Operation C 3 Cycles 64 Register-rich FPGA 3 Cycles 9-Cycle imbalance Allows for addition of pipeline stages to increase throughput ata paths must be balanced to keep desired functionality YT Hwang VLSI SP 29 Shift Register 12 Cycles 64 Operation A Operation B 4 Cycles 8 Cycles Operation C Pipeline 64 3 Cycles 9 Cycles as shift register Used to add pipeline stages Increase overall register count 16 bit shift register per 64 bit shift register per CLB 12 Cycles Paths statically balanced YT Hwang VLSI SP 30

16 CLB Arithmetic Logic edicated carry logic Provides high performance for counters & arithmetic functions iscrete XOR component for single level sum completion Two separate carry chains in CLB allow for 3 operand functions Single-level Sum Can also be used to cascade s for wide-input logic functions YT Hwang VLSI SP 31 3 Operand Adder Function COUT COUT B1 B0 Look-Up Table Carry & Control Logic PARTIAL1 Look-Up Table O Carry & Control Logic SUM1 A1 A0 Look-Up Table Carry & Control Logic PARTIAL0 Look-Up Table Carry & Control Logic SUM0 C1 C0 CIN SLICE0 CLB CIN SLICE1 A, B, C are two-bits wide SUM = A + B + C or PARTIAL + C, where PARTIAL = A + B Implementation First 2-operand sum A+B is performed in Slice 0 Second 2-operand sum PARTIAL + C is performed in Slice 1 Fast local feedback connection within the CLB Very small delay for on PARTIAL YT Hwang VLSI SP 32

17 Carry Logic for Wide Input Functions Higher performance Efficient resource utilization Common applications Wide input decoding Comparators HL design entry can be inferred MUXCY must be instantiated YT Hwang VLSI SP Input AN Function L K J I H G F E C B A 3 INIT= INIT= INIT= MUXCY MUXCY MUXCY Vcc Output 4-Input AN Truth Table Inputs(ABC) Output(Z) Output(HEX) Utilization 3 s and 3 MUXCYs As opposed to 4 s Performance 1 logic level As opposed to 2 logic levels YT Hwang VLSI SP 34

18 12- Input OR Function L K J I H G F E C B A 3 INIT= INIT= INIT= MUXCY MUXCY MUXCY Output Vcc Vcc Vcc 4-Input NOR Truth Table Inputs(ABC) Output(Z) Output(HEX) Utilization 3 s and 3 MUXCYs As opposed to 4 s Performance 1 logic level As opposed to 2 logic levels YT Hwang VLSI SP 35 edicated CLB Multiplier Logic A CY_MUX CO S I CI CY_XOR MULT_AN edicated AN gate A x B B edicated AN gate Highly efficient Shift & Add implementation For a 16x16 Multiplier 30% reduction in area and one less logic level YT Hwang VLSI SP 36

19 Spartan-IIE Memory Hierarchy C CL E K A0 A1 A2 A3 Shift Register 16 registers, 1 Compact & fast CL K A0 A1 A2 A3 SRL16E SRL16 Pipelining Buffers Bytes istributed RAM Single-port ual port Cascadable 16x1 SP Coefficients Small FIFOs Scratch Pad Block RAMs 4Kbit blocks True dual-port Port A 4Kx1 2Kx2 1Kx4 512x8 256x16 Block RAM Port B Cache Tag memory Large FIFOs Packet buffers Video line buffers Kilobytes High-Performance External Memory Interfaces R I/O SSTL, HSTL, CTT SRAM SGRAM PB SRAM R SRAM ZBT SRAM R SRAM Collaboration with memory vendors IT, Cypress, Micron, NEC, Samsung, Toshiba... YT Hwang VLSI SP 37 istributed RAM CLB configurable as istributed RAM A equals 16x1 RAM Implements single and dual ports Cascade s to increase RAM size Synchronous write Synchronous/Asynchronous read Accompanying flip-flops used for synchronous read RAM32X1S WE WCLK A0 A1 A2 A3 A4 or = = O RAM16X1S WE WCLK A0 A1 A2 A3 RAM16X2S 0 1 WE WCLK A0 A1 A2 A3 O0 O1 or O RAM16X1 WE WCLK A0 SPO A1 A2 A3 PRA0 PO PRA1 PRA2 PRA3 YT Hwang VLSI SP 38

20 SRL-16 and SRL-16E CLK A0 A1 A2 A3 SRL16 IN CE CLK CE CE 16-bit Shift Register Look-Up-Table CE CLK A0 A1 A2 A3 SRL16E CLB Slice Slice CE CE OUT 16-bit Shift Register Look-Up-Table with Clock Enable AR[3:0] YT Hwang VLSI SP 39 istributed RAM ual-port Implementation 2 s equal 16x1 dual-port RAM A Port B Port Uses A[3:0] address Write and read Uses PA[3:0] address Read only Excellent for FIFOs, scratch pads. A[3:0] WE WCLK RAM16X1 16 x 1 RAM 16 x 1 RAM SPO PA[3:0] PO YT Hwang VLSI SP 40

21 Block RAM Most efficient memory implementation edicated blocks of memory Ideal for most memory requirements 8 to 72 memory blocks 4096 bits per blocks Use multiple blocks for larger memories Port A Spartan-IIE True ual-port Block RAM Port B Block RAM Builds both single and true dual-port RAMs CORE Generator provides custom-sized block RAMs uickly generates optimized RAM implementation YT Hwang VLSI SP 41 Block RAM Configurable synchronous Block RAM Single-port RAM True dual-port RAM Two independent single-port RAMs Block count increases with FPGA size evice No. of Blocks Block RAM Bits XC2S50E 8 32,768 XC2S100E 10 40,960 XC2S150E 12 49,152 XC2S200E 14 57,344 XC2S300E 16 65,536 XC2S400E ,840 XC2S600E ,912 YT Hwang VLSI SP 42

22 Block RAM Flexible 4096-bit block Variable aspect ratio 4096 x x x x x 16 Increase memory depth or width by cascading blocks YT Hwang VLSI SP 43 Block RAM Single-Port Implementation Easy cascading of block RAMs Utilize variable aspect ratio for desired RAM size Example esired RAM size: 1024 x x x 4 = 1024 x 8 CORE Generator software Efficiently cascades RAM blocks uick custom RAM implementation ATA[7..4] ATA[3..0] 1024 X 8 RAM RAMB4_S4 WE EN RST O[3:0] CLK AR[9:0] I[3:0] RAMB4_S4 WE EN RST O[3:0] CLK AR[9:0] I[3:0] OUT[7..4] OUT[3..0] YT Hwang VLSI SP 44

23 ual-port Bus Flexibility Port A In 1K-Bit epth RAMB4_S4_S16 WEA ENA RSTA OA[3:0] CLKA ARA[9:0] IA[3:0] Port A Out 4-Bit Width WEB Port B In 256-Bit epth ENB RSTB CLKB ARB[7:0] OB[15:0] Port B Out 16-Bit Width IB[15:0] Each port can be configured with a different data bus width Provides easy data width conversion without any additional logic YT Hwang VLSI SP 45 Two Independent Single-Port RAMs Port A In 2K-Bit epth VCC, AR[10:0] RAMB4_S1_S1 WEA ENA RSTA OA[0] CLKA ARA[10:0] IA[0] Port A Out 1-Bit Width Port B In 2K-Bit epth GN, AR[10:0] WEB ENB RSTB CLKB ARB[10:0] IB[0] OB[0] Port B Out 1-Bit Width Added advantage of True ual- Port No wasted RAM Bits Can split a ual-port 4K RAM into two Single-Port 2K RAM Simultaneous independent access to each RAM To access the lower RAM Tie the MSB address bit to Logic Low To access the upper RAM Tie the MSB address bit to Logic High YT Hwang VLSI SP 46

24 CAM in Block RAM Content Addressable Memory (CAM) Storage array like a RAM Functionally opposite of a RAM uickly find the location of a particular stored value Output the address and toggle the MATCH line, if data match is found RAM A[9:0] ATA [7:0] 1024x8 CAM ATA[7:0] A [9:0] 1024x8 MATCH Used in telecommunications, networking, Ethernet, ATM switches Xilinx provides reference designs and application notes YT Hwang VLSI SP 47 System Interfaces -- SelectI/O LVS Voltage Standards 3.3V 2.5V 1.8V 1.5V Chip-to-Chip Interfaces LVPECL LVCMOS LVTTL 19 ifferent Standards Supported! Backplane Interfaces AGP GTL GTL+ PCI BLVS High-speed Memory Interfaces CTT HSTL SSTL w Supports multiple voltage and signal standards simultaneously w Eliminate costly bus transceivers YT Hwang VLSI SP 48

25 SelectI/O TM Standards Standard V REF V CCO Chip to Chip Interface LVTTL na 3.3 LVCMOS2 na 2.5 LVCMOS18 na 1.8 LVS na 2.5 LVPECL na 3.3 Backplane Interface PCI 33MHz 3.3V na 3.3 PCI 66MHz 3.3V na 3.3 GTL 0.80 na GTL na AGP-2X Bus LVS na 2.5 Memory Interface HSTL-I HSTL-III & IV SSTL3-I & II SSTL2-I & II CTT Output Input V CCO V CCO defines output voltage Internal Reference User I/O Pin V REF V REF defines input threshold reference voltage Available as user I/O when using internal reference YT Hwang VLSI SP 49 I/Os Separated into 8 Banks Bank 0 Bank 1 CLB GCLK3 Bank 7 I R... Bank 6 O B I O B LL A M R A M... CLB IOB GCLK1... IOB GCLK2... GCLK0 CLB CLB LL R A M R A M LL IOB IOB LL I O B I O B Bank 2 Bank 3 Banks 2 and 3 used during configuration IOB=I/O Blocks Bank 5 Bank 4 YT Hwang VLSI SP 50

26 I/O Signal Types I/O Signal Type Single-Ended ifferential LVCMOS HSTL SSTL LVTTL LVS Bus LVS LVPECL NOTE: Only the popular IO types shown here YT Hwang VLSI SP 51 Single Ended I/O Traditional means of data transfer ata is carried on a single line Bigger voltage swing between logic Low and High 3.3 V Logic High river Receiver 2 V ata Out ata In 0.8 V 1.2V swing Logic Low Single ended data transfer LVTTL input levels YT Hwang VLSI SP 52

27 SystemI/O Single-Ended I/O Standards Summary YT Hwang VLSI SP 53 ifferential I/O Latest means of data transfer One data bit is carried through two signal lines Voltage difference determines logic High or Low Smaller voltage swing between logic Low and High Higher performance Lower power Lower noise 3.3 V ata Out river Rt Receiver + - ata In 1.7 V 1.3 V 0.4V swing ifferential signal data transfer LVS Input levels YT Hwang VLSI SP 54

28 SelectI/O: ifferential I/O Types LVS (Low Voltage ifferential Signal) Unidirectional data transfer Bus LVS Bi-directional communication between 2 or more devices Can transmit and receive LVS signals through the same pins LVPECL (Low Voltage Positive Emitter Coupled Logic) Unidirectional data transfer Popular industry standard for fast clocking YT Hwang VLSI SP 55

Virtex-II Architecture

Virtex-II Architecture Virtex-II Architecture Block SelectRAM resource I/O Blocks (IOBs) edicated multipliers Programmable interconnect Configurable Logic Blocks (CLBs) Virtex -II architecture s core voltage operates at 1.5V

More information

Programmable Logic. Simple Programmable Logic Devices

Programmable Logic. Simple Programmable Logic Devices Programmable Logic SM098 Computation Structures - Programmable Logic Simple Programmable Logic evices Programmable Array Logic (PAL) AN-OR arrays are common blocks in SPL and CPL architectures Implements

More information

Architecture by Xilinx, Inc. All rights reserved.

Architecture by Xilinx, Inc. All rights reserved. Architecture 2002 by Xilinx, Inc. All rights reserved. Spartan-IIE Technical Details Table of Contents Spartan-IIE Overview Logic and Routing Embedded Memory System Clock Management Interfaces Select I/O

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

The Virtex FPGA and Introduction to design techniques

The Virtex FPGA and Introduction to design techniques The Virtex FPGA and Introduction to design techniques SM098 Computation Structures Lecture 6 Simple Programmable Logic evices Programmable Array Logic (PAL) AN-OR arrays are common blocks in SPL and CPL

More information

ECEU530. Project Presentations. ECE U530 Digital Hardware Synthesis. Rest of Semester. Memory Structures

ECEU530. Project Presentations. ECE U530 Digital Hardware Synthesis. Rest of Semester. Memory Structures ECEU53 ECE U53 igital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu November 5, 26 Lecture 8: Student project presentations Memories and FPGAs Tri-state buffers and busses Student project presentations:

More information

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Module 2 Eric Crabill SJSU / Xilinx Fall 2007 Lecture #4 Agenda Survey of implementation technologies. Implementation Technologies Small scale and medium scale integration. Up to about 200

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes. Topics! SRAM-based FPGA fabrics:! Xilinx.! Altera. SRAM-based FPGAs! Program logic functions, using SRAM.! Advantages:! Re-programmable;! dynamically reconfigurable;! uses standard processes.! isadvantages:!

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective Outline Field Programmable Gate Arrays Historical perspective Programming Technologies Architectures PALs, PLDs,, and CPLDs FPGAs Programmable logic Interconnect network I/O buffers Specialized cores Programming

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

EECS150 - Digital Design Lecture 16 - Memory

EECS150 - Digital Design Lecture 16 - Memory EECS150 - Digital Design Lecture 16 - Memory October 17, 2002 John Wawrzynek Fall 2002 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: data & program storage general purpose registers buffering table lookups

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

EECS150 - Digital Design Lecture 13 - Project Description, Part 2: Memory Blocks. Project Overview

EECS150 - Digital Design Lecture 13 - Project Description, Part 2: Memory Blocks. Project Overview EECS150 - igital esign Lecture 13 - Project escription, Part 2: Memory Blocks Mar 2, 2010 John Wawrzynek Spring 2010 EECS150 - Lec13-proj2 Page 1 Project Overview A. MIPS150 pipeline structure B. Serial

More information

EECS150 - Digital Design Lecture 16 Memory 1

EECS150 - Digital Design Lecture 16 Memory 1 EECS150 - Digital Design Lecture 16 Memory 1 March 13, 2003 John Wawrzynek Spring 2003 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: Whenever a large collection of state elements is required. data &

More information

ispxpld TM 5000MX Family White Paper

ispxpld TM 5000MX Family White Paper ispxpld TM 5000MX Family White Paper October 2002 Overview The two largest segments of the high density programmable logic market have traditionally been nonvolatile, Complex Programmable Logic Devices

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

FPGA Implementations

FPGA Implementations FPGA Implementations Smith Text: Chapters 4-8 Online version at: http://www10.edacafe.com/book/asic/asics.php Topic outline Chapter 4 Programmable ASIC technologies Chapter 5 Programmable logic cells Chapter

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

ECE 645: Lecture 1. Basic Adders and Counters. Implementation of Adders in FPGAs

ECE 645: Lecture 1. Basic Adders and Counters. Implementation of Adders in FPGAs ECE 645: Lecture Basic Adders and Counters Implementation of Adders in FPGAs Required Reading Behrooz Parhami, Computer Arithmetic: Algorithms and Hardware Design Chapter 5, Basic Addition and Counting,

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 Xilinx FPGAs Chapter 7 Spartan 3E Architecture Source: Spartan-3E FPGA Family Datasheet CLB Configurable Logic Blocks Each CLB contains four slices Each slice

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

FPGA Architecture Overview. Generic FPGA Architecture (1) FPGA Architecture

FPGA Architecture Overview. Generic FPGA Architecture (1) FPGA Architecture FPGA Architecture Overview dr chris dick dsp chief architect wireless and signal processing group xilinx inc. Generic FPGA Architecture () Generic FPGA architecture consists of an array of logic tiles

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Introduction to Modern FPGAs

Introduction to Modern FPGAs Introduction to Modern FPGAs Arturo Díaz Pérez Centro de Investigación y de Estudios Avanzados del IPN Departamento de Ingeniería Eléctrica Sección de Computación adiaz@cs.cinvestav.mx Outline Technology

More information

CS Digital Systems Project Laboratory

CS Digital Systems Project Laboratory CS 194- igital Systems Project Laboratory Lecture 1 Virtex-5 Microarchitecture Not a professor. John is OK. 2008-9- John Lazzaro (www.cs.berkeley.edu/~lazzaro) And also, an introduction to the project.

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

Xilinx ASMBL Architecture

Xilinx ASMBL Architecture FPGA Structure Xilinx ASMBL Architecture Design Flow Synthesis: HDL to FPGA primitives Translate: FPGA Primitives to FPGA Slice components Map: Packing of Slice components into Slices, placement of Slices

More information

ECE 448 Lecture 5. FPGA Devices

ECE 448 Lecture 5. FPGA Devices E 448 Lecture 5 FPGA evices E 448 FPGA and ASIC esign with VHL George Mason University Required reading 7 Series FPGAs Configurable Logic Block: User Guide Overview Functional etails 2 What is an FPGA?

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents Memory: Introduction, Random-Access memory, Memory decoding, ROM, Programmable Logic Array, Programmable Array Logic, Sequential programmable

More information

EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) Project platform: Xilinx ML

EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) Project platform: Xilinx ML EECS150 - igital esign Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 25, 2010 John Wawrzynek Spring 2011 EECS150 - Lec03-FPGA Page 1 Project platform: Xilinx ML505-110 Spring 2011 EECS150

More information

Basic FPGA Architecture

Basic FPGA Architecture /25/2 CS4 igital System esign Technology Timeline r. Arshad Aziz Basic FPGA Architecture The esign Warrior s Guide to FPGAs evices, Tools, and Flows. ISBN 7567643 Copyright 24 Mentor Graphics Corp. (www.mentor.com)

More information

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN 2 3 4 5 6 7 8 9 2 3 4 5 6 7 8 9 2 Implementation in Sequential Logic 2 PAL Logic Implementation Design Example: BCD to Gray Code Converter A B

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper. APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00 APEX programmable logic devices provide the flexibility

More information

7-Series Architecture Overview

7-Series Architecture Overview 7-Series Architecture Overview Zynq Vivado 2013.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Describe the

More information

ΔΙΑΛΕΞΗ 2: FPGA Architectures

ΔΙΑΛΕΞΗ 2: FPGA Architectures ΗΜΥ 664 ΨΗΦΙΑΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΜΕ FPGAs Χειμερινό Εξάμηνο 2010 ΔΙΑΛΕΞΗ 2: FPGA Architectures ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Λέκτορας ΗΜΜΥ (ttheocharides@ucy.ac.cy) Some slides adopted from Digital Integrated Circuits,

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013.

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013. 2499-1 International Training Workshop on FPGA Design for Scientific Instrumentation and Computing 11-22 November 2013 FPGA Introduction Cristian SISTERNA National University of San Juan San Juan Argentina

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

Lecture 11 Memories in Xilinx FPGAs

Lecture 11 Memories in Xilinx FPGAs Lecture 11 Memories in Xilinx FPGAs ECE 448 FPGA and ASIC Design with VHDL Recommended reading XAPP463 Using Block RAM in Spartan-3 Generation FPGAs Google search: XAPP463 XAPP464 Using Look-Up Tables

More information

Configurable Embedded Systems: Using Programmable Logic to Compress Embedded System Design Cycles

Configurable Embedded Systems: Using Programmable Logic to Compress Embedded System Design Cycles Class 330 Configurable Embedded Systems: Using Programmable Logic to Compress Embedded System Design Cycles Steven Knapp (sknapp) Arye Ziklik (arye) Triscend Corporation www.triscend.com Copyright 1998,

More information

Digital System Design Lecture 7: Altera FPGAs. Amir Masoud Gharehbaghi

Digital System Design Lecture 7: Altera FPGAs. Amir Masoud Gharehbaghi Digital System Design Lecture 7: Altera FPGAs Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Altera FPGAs FLEX 8000 FLEX 10k APEX 20k Sharif University of Technology 2 FLEX 8000 Block Diagram

More information

ECE 448 Lecture 5. FPGA Devices

ECE 448 Lecture 5. FPGA Devices ECE 448 Lecture 5 FPGA Devices George Mason University Required reading Spartan-6 FPGA Configurable Logic Block: User Guide CLB Overview Slice Description 2 Recommended reading Highly recommended for the

More information

Introduction to FPGAs. H. Krüger Bonn University

Introduction to FPGAs. H. Krüger Bonn University Introduction to FPGAs H. Krüger Bonn University Outline 1. History 2. FPGA Architecture 3. Current Trends 4. Design Methodology (short see other lectures) Disclaimer: Most of the resources used for this

More information

Chapter 13 Programmable Logic Device Architectures

Chapter 13 Programmable Logic Device Architectures Chapter 13 Programmable Logic Device Architectures Chapter 13 Objectives Selected areas covered in this chapter: Describing different categories of digital system devices. Describing different types of

More information

ECE 545 Lecture 12. FPGA Resources. George Mason University

ECE 545 Lecture 12. FPGA Resources. George Mason University ECE 545 Lecture 2 FPGA Resources George Mason University Recommended reading 7 Series FPGAs Configurable Logic Block: User Guide Overview Functional Details 2 What is an FPGA? Configurable Logic Blocks

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) 1 Roth Text: Chapter 3 (section 3.4) Chapter 6 Nelson Text: Chapter 11 Programmable logic taxonomy Lab Device 2 Field Programmable Gate Arrays Typical Complexity

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

FYSE420 DIGITAL ELECTRONICS. Lecture 7

FYSE420 DIGITAL ELECTRONICS. Lecture 7 FYSE420 DIGITAL ELECTRONICS Lecture 7 1 [1] [2] [3] DIGITAL LOGIC CIRCUIT ANALYSIS & DESIGN Nelson, Nagle, Irvin, Carrol ISBN 0-13-463894-8 DIGITAL DESIGN Morris Mano Fourth edition ISBN 0-13-198924-3

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

Computer Structure. Unit 2: Memory and programmable devices

Computer Structure. Unit 2: Memory and programmable devices Computer Structure Unit 2: Memory and programmable devices Translated from Francisco Pérez García (fperez at us.es) by Mª Carmen Romero (mcromerot at us.es, Office G1.51, 954554324) Electronic Technology

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

The D igital Digital Logic Level Chapter 3 1

The D igital Digital Logic Level Chapter 3 1 The Digital Logic Level Chapter 3 1 Gates and Boolean Algebra (1) (a) A transistor inverter. (b) A NAND gate. (c) A NOR gate. 2 Gates and Boolean Algebra (2) The symbols and functional behavior for the

More information

Section 6. Memory Components Chapter 5.7, 5.8 Physical Implementations Chapter 7 Programmable Processors Chapter 8

Section 6. Memory Components Chapter 5.7, 5.8 Physical Implementations Chapter 7 Programmable Processors Chapter 8 Section 6 Memory Components Chapter 5.7, 5.8 Physical Implementations Chapter 7 Programmable Processors Chapter 8 Types of memory Two major types of memory Volatile When power to the device is removed

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES. psa. rom. fpga THE WAY THE MODULES ARE PROGRAMMED NETWORKS OF PROGRAMMABLE MODULES EXAMPLES OF USES Programmable

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

Review from last time. CS152 Computer Architecture and Engineering Lecture 6. Verilog (finish) Multiply, Divide, Shift

Review from last time. CS152 Computer Architecture and Engineering Lecture 6. Verilog (finish) Multiply, Divide, Shift Review from last time CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (www.cs.berkeley.edu/~kubitron) lecture slides: http://www-inst.eecs.berkeley.edu/~cs152/

More information

Organic Computing. Dr. rer. nat. Christophe Bobda Prof. Dr. Rolf Wanka Department of Computer Science 12 Hardware-Software-Co-Design

Organic Computing. Dr. rer. nat. Christophe Bobda Prof. Dr. Rolf Wanka Department of Computer Science 12 Hardware-Software-Co-Design Dr. rer. nat. Christophe Bobda Prof. Dr. Rolf Wanka Department of Computer Science 12 Hardware-Software-Co-Design 1 Reconfigurable Computing Platforms 2 The Von Neumann Computer Principle In 1945, the

More information

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Unit 6.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2. Logic Logic and Computer Design Fundamentals Part Implementation

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs PLAs & PALs Programmable Logic Devices (PLDs) PLAs and PALs PLAs&PALs By the late 1970s, standard logic devices were all the rage, and printed circuit boards were loaded with them. To offer the ultimate

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1 CompE 270 Digital Systems - 5 Programmable Logic Ken Arnold Objective Application Specific ICs Introduce User Programmable Logic Common Architectures Programmable Array Logic Address Decoding Example Development

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

UNIT V (PROGRAMMABLE LOGIC DEVICES)

UNIT V (PROGRAMMABLE LOGIC DEVICES) UNIT V (PROGRAMMABLE LOGIC DEVICES) Introduction There are two types of memories that are used in digital systems: Random-access memory(ram): perform both the write and read operations. Read-only memory(rom):

More information

EE260: Digital Design, Spring 2018

EE260: Digital Design, Spring 2018 Topics Verilog Module 1 Introduction Yao Zheng (Based on the slides of Prof. Jim Duckworth) Background to Verilog Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture Nexys

More information

VHX - Xilinx - FPGA Programming in VHDL

VHX - Xilinx - FPGA Programming in VHDL Training Xilinx - FPGA Programming in VHDL: This course explains how to design with VHDL on Xilinx FPGAs using ISE Design Suite - Programming: Logique Programmable VHX - Xilinx - FPGA Programming in VHDL

More information

Memory Supplement for Section 3.6 of the textbook

Memory Supplement for Section 3.6 of the textbook The most basic -bit memory is the SR-latch with consists of two cross-coupled NOR gates. R Recall the NOR gate truth table: A S B (A + B) The S stands for Set to remember, and the R for Reset to remember.

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

TSEA44 - Design for FPGAs

TSEA44 - Design for FPGAs 2015-11-24 Now for something else... Adapting designs to FPGAs Why? Clock frequency Area Power Target FPGA architecture: Xilinx FPGAs with 4 input LUTs (such as Virtex-II) Determining the maximum frequency

More information

Virtex-4 Family Overview

Virtex-4 Family Overview Virtex-4 User Guide 0 Virtex-4 Family Overview DS112 (v1.1) September 10, 2004 0 0 General Description The Virtex-4 Family is the newest generation FPGA from Xilinx. The innovative Advanced Silicon Modular

More information