Space Parts Working Group Cobham Semiconductor Solutions (formerly Aeroflex Microelectronic Solutions)

Size: px
Start display at page:

Download "Space Parts Working Group Cobham Semiconductor Solutions (formerly Aeroflex Microelectronic Solutions)"

Transcription

1 The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Space Parts Working Group Cobham Semiconductor Solutions (formerly Aeroflex Microelectronic Solutions) Presenter Anton Quiroz

2 Agenda Cobham Overview Rad & EMS Services Standard Products Update ASIC/ASSP Update Power Distribution Modules 1

3 Cobham Sectors Serving Diverse & Dynamic Markets Advanced Electronic Solutions Offering critical solutions for communication on land, sea, air and space, by moving data through off-the-shelf and customized products including: radio frequency, microwave, high-rel microelectronics, antenna subsystems and motion control solutions. AESA Radar Data Link Systems Electronic Warfare Systems High-reliability Microelectronics Integrated Circuit Design and Assembly Radiation Test Services RF & Microwave Technologies, Satellite Waveguide Military Standard Electronics Motion Control Communications & Connectivity Supplier of robust, high performance equipment and solutions that enable reliable connectivity in the most demanding environments. Our solutions provide a competitive edge in aerospace, avionics, satellite and radio, wireless and mobile connectivity markets. Antenna Systems for Communication, Navigation, Electronic Warfare and Radar Cockpit and Cabin Communications Public Safety and Cellular Wireless Communications for Infrastructure Satcom Equipment for Aerospace, Land and Maritime Wireless and Radio Test Solutions Aviation Services Outsourced aviation services for military and civil customers worldwide through military training, special mission flight operations, outsourced commercial aviation and aircraft engineering Air Traffic Display Systems Electronic Warfare Training Fly-In Fly-Out Services for the Natural Resource Industry Helicopter Services Maritime Surveillance and Border Protection Regional Airline Services for Qantas Mission Systems Leading supplier of critical control solutions, we help customers to increase the safety and mission capabilities of their personnel and equipment in extreme environments. Advanced Restraint and Release Systems Aerospace Oxygen Systems Air-to-Air Refuelling Systems Emergency and Missile Actuation Systems Fuel Tank Inerting Systems Space Life Support and Propulsion Systems Thermal Management Unmanned Systems Weapons Carriage and Release 2

4 CAES Sector Overview Three Business Units Integrated Electronic Solutions Microelectronic Solutions Semiconductor Solutions Antenna Solutions for Airborne Communications, Navigation & Identification (CNI), Ground Mobile, Launch Vehicles & Missiles/Munitions, Motion Control Product & Systems Electronic Warfare, Electronic Support Measures, Direction Finding, Radar Warning Multi-function Assemblies Precision Gimbals and Gimbal Systems RF Transmission: High Performance RF Cables and Waveguide Flat Plate Antennas Rotary Joints/Rotating Subsystems Actuators/DC Motors Stabilized Platforms High Power, Solid State Transmitters Microwave and RF Components, Modules and Subsystems, including: Integrated Microwave Assemblies (IMA) Line Replaceable Units Signal Source, Synthesizers and Frequency Converters Switches and Switching Subsystems Passive and Active Control Components AESA panels Digital Receiver Exciters Applications include: Electronic Warfare, Electronic Support Measures and Precision Guided Weapons Radar (Land, Sea, Air) Tactical Missile Systems Space (Launch, Bus, Payload) Data Links Standard HiRel ICs SPO: µprocessor, Memory, Interconnect & Power, SBCs ASICs - IAD (Industrial, Space & Defense) ASICs - SMP (Security & Medical Products) Electronic Manufacturing Services (EMS): Build-To-Print (BTP) CCA & MCM, Supply Chain, Radiation Services & Trusted Power, Hybrid Microcircuits and RF modules and subsystems Product Brands: Cobham Gaisler Cobham RAD 3

5 AIN0 AIN1 AIN2 AIN3 AIN30 AIN31 Current MUX Analog MUX 32 PGA Reference System Anti-Alias LP Filter Power-On-Reset Programmable Current Source Control ADC 12-bit 100ksps Sigma-Delta Modulator External or Internal Clock/Oscillator Clk Digital Filter (COI3 or Sinc 3 ) Data Avg, Storage, Alarm Monitoring Primary & Redundant IO CAN CAN SPI SPI I2C I2C GPIO Cobham Semiconductor Solutions Product and Services Business Overview Products Solutions Products and Services Key Customers Monolithic Integrated Circuits Cobham (Formerly Aeroflex) High Reliability Standard Products, Custom and Application Specific Integrated Circuits for Space, Medical Imaging, Digital Processing, and Industrial & Commercial Applications Monolithic, Multi-chip, 2.5D packaging High Reliability Test and Qualification Rad-Hard by Design and Quantified Commercial Integrated Circuits µprocessor, Microcontroller, Memory, Clocks, Interconnect, Power Standard Products 2x CAN Controller Control Registers 32 Channel Data Storage Registers Alarm Threshold Registers Alarms and Interrupt Controller Power Cobham (Formerly Aeroflex) Power Management Hybrid Modules Custom Power Solutions Battery Electronics Units Electronic Manufacturing Services Cobham (Formerly Aeroflex) Built-To-Print, Low Volume/High Mix Circuit Card Assembly: ANSI-J-STD-001 including DS/ES and NASA 8739 Supply Chain Management Processors & Peripherals Cobham Gaisler Intellectual Property LEON Fault Tolerance Processors Computing IP for ASICs and FPGAs Software, Hardware, and Components Radiation Test Services Cobham RAD Solutions Radiation testing method to both MIL-STD-750 and MIL-STD-883 Transforming commercial technologies for harsh environment applications 4

6 5 RAD AND EMS SERVICES

7 Cobham RAD Solutions Full In-House Radiation Test Services, Device Up Screening Program Description Cobham RAD Solutions has obtained Lab Suitability by DLA (Defense Logistics Agency) for all radiation testing method to both MIL-STD-750 and MIL-STD- 883 and own and operate a full suite of radiation and electrical test equipment. Cobham Services Total Ionizing Dose TID RLAT (50 to 300 rads/sec) MIL-STD-883 TM 1019, Cond. A TID ELDRS (10 to 100 mrads/sec) MIL-STD-883 TM 1019, Cond. D, ESA/SCC22900 Prompt Dose / Flash X-Ray Tests MIL-STD-883 TM 1020 and 1021 Neutron Displacement Damage Testing Heavy Ion SEE Testing: SEL, SET, SEGR, SEU, SEB, SEFIs Proton SEE and Displacement Damage Tests Cryogenic FPA testing (25 K) Certified radiation testing with full suite of radiation and electrical test equipment 6 Transforming Commercial Technologies for Harsh Environment Applications

8 Electronic Manufacturing Services Circuit Card Assembly Cobham Services Build-To-Print (BTP) to include Circuit Card Assembly (CCA), hybrids & modules Can build to ANSI-J-STD-001 Low Volume/High Mix Production Supply Chain / Component Management Complete or complimentary life cycle for customer programs 7 Transforming Commercial Technologies for Harsh Environment Applications

9 STANDARD PRODUCT UPDATES 8

10 Rad Tolerant CAN XCVR Product Overview UT64CAN333x Features, Offerings, Availability Key Specifications and Features Max Power: <200mW 5V Tolerant Digital I/O Baud Rate: 10Kbps to 8Mbps CAN-FD Flexible Data Rate Supply Voltage: Single 3.3V Bus Fault Protection: ±16V Common Mode Range: {-7, 12V} Differential Input Capacitance: 25pF CAN Bus Output Drive: Up to 100mA Compatible with ISO & Cold Spare of digital I/O Supports up to 120 nodes Class 3A ESD for CAN bus pins (4000V) Packaging: 8-lead ceramic flat pack Superior, guaranteed radiation performance TID: 100 krad(si) Latch-up Immune to LET 117 MeVcm2/mg No SEGR Supports electric propulsion satellites CAN XCVR SEE report available upon request

11 CAN XCVR System Integration UT64CAN333x GR712, GR740 and UT699E/UT700 Microprocessors Integrated CAN 2.0 bus interface ARM M0 Microcontroller Available in 2017 CAN Primary and Redundant Controller Interfaces ARM M0+ Processor with Powerful Set of Development and Debug Tools Integrated Mission Read/Write Flash Memory and SRAM Comprehensive Analog Front End Internal Power Management Unit Small Footprint and Low Power Minimal Number of External Components

12 Cobham Gaisler Processor Solutions Complete System and IP Offerings Synthesizable IP Core Library Simulators, Debuggers, Operating Systems, Compilers FT FPGA Processors FT LEON3/LEON4 Processor Parts Development Boards System Testbeds 11 Transforming Commercial Technologies for Harsh Environment Applications

13 NEW: GR740 Quad Core LEON 4FT Product Highlights Quad-core LEON 4FT rad-tolerant SoC device 4x LEON 4FT with dedicated FPU and MMU 128 KiB L1 caches connected to 128-bit bus 2 MiB L2 cache, 256-bit cache line, 4-ways 64-bit SDRAM memory I/F (+32 check bits) 8-port SpaceWire router with +4 internal ports 32-bit 33 MHz PCI interface 2x 10/100/1000 Mbit Ethernet Debug links: Ethernet, JTAG, SpaceWire MIL-STD-1553B, CAN 2.0B, 2 x UART SPI master/slave, GPIO, Timers & Watchdog 625 CLGA Ceramic Package, wirebond, ~30 mm x 30mm Supply Voltage Core: 1.2V & I/O: 2.5V/3.3V Supported OS: RTEMs, VxWorks, Linux Prototype Devices & Development Board Available Now Documentation and Tools Available Now Preliminary Validation/Radiation Test Results Available

14 GR740 Quad Core LEON 4FT Advantages and Highlights on Performance / Functional Validation Advantages: More Processing power with Higher integration, addressing Size Weight and Power Low power Software reuse from prior LEON designs Supported by GRMON2 debugger and GRSIM Worst-case frequency of 250 MHz in production tests, over full temp range (-40 to +125 o C) >380 MHz operation over temperature range tested Benchmarking Results (~1000 MIPS, 1700 DMIPS) Shows approaching 4X performance with quad core vs single core Power consumption (including some I/O) at 25 C: 4x CPU: 1.85 W Various Radiation Testing Validation Targets: TID > 300 krad (Si), Single-Event Latch-Up Immunity (SEL) to LET TH 60 MeVcm 2 /mg Schedule/Availability: Prototype Devices & Development Board Available with documentation and tools: Available Now Production (QML) Product: 2H 2018

15 Gen 6 LEON 3FT Single Board Computer 3U cpci Form Factor Flexible Architecture UT699, UT699E, UT700 64MB of SRAM Instruction Memory 4 of Cobham s 160Mb SRAMs 32MB of NV Memory 5 of Cobham s 64Mb MRAMs IPC-6012 Class 3A Compliance Two front panel SpaceWire (SpW) interface connectors CAN, 1553* and SPI* interfaces to the cpci backplane (*UT700 version only) Full suite of analyses Proto and Flight Boards available now! 14

16 High Speed Serial Cross Point Switch UT65CML8X8FD - 8 x Gb/s Lanes 8 x 8 Individual Lanes or Two 4 x Gb/s Ports Each Lane of the 4 Lane Port Can Be A/B Path Switched (i.e. Primary vs Redundant) Each Lane Can Be Switched Independently and Powered Down Individually Lanes Can Be Cold Spared Continuous Serial Rates From DC to Gb/s CML-to-CML I/O Interfaces with Input Equalization Low Jitter Insertion Protocol Transparent Small Foot Print: 14.5 x 14.5 mm Power 1.3 Full Rate, All Lanes 1.2V Core, 1.8V CML, 2.5V SPI Port Radiation Performance - TID: 100 krads(si), SEL Immune, SEU Immune (SPI Port) -55C to 125 o C Applications Serial Communications Cross Strap Redundancy Space VPX 4 x Gb/s 4 x Gb/s 4 x Gb/s 4 x Gb/s XAUI CML I/O XAUI CML I/O CML Cross Point Supporting Up To Gbps SPI Control Register Interface SPI Control Port XAUI CML I/O XAUI CML I/O 4 x Gb/s 4 x Gb/s 4 x Gb/s 4 x Gb/s

17 Bus Switches Family Overview Interconnect Products Dual operating power supply (5V and 3V) Fast Switching (sub nsec delay) Low RON VDD = 3.3V offers 11Ω switch connection between ports VDD = 5.0V offers 5Ω switch connection between ports Low input/output capacitance (4.5 pf) Low power consumption Ultra low power CMOS technology 60mA continuous current per channel Small package options Operational environment: Total-dose: up to 300 krad(si) Latchup immune (LET < 100 MeV-cm2/mg) Supports Digital & Analog Applications Ideal for Bus Isolation applications Provides cold-sparing capability without the need for actual cold-sparing multiplexer inputs UT54BS bit Basic 8-bit block UT54BS bit Instantiate 2 8-bit blocks UT54BS bit Instantiate 2 10-bit blocks UT54BS bit Instantiate 4 8-bit blocks Product Pkg SMD # UT54BS bit 20 CFP UT54BS bit 48 CFP UT54BS bit 48 CFP UT54BS bit 96 CLGA 15241

18 Bus Switch Product Summary Value Proposition Optimized interconnect solution Fast switching speeds Low nano-second propagation delays Low RON resistance Low input/out capacitance Low Operating Power Electrically isolates load devices from active components or buses Supports cold-sparing and redundant architectures without speed penalties Supports Digital and Analog applications In voltage range: 3.0 to 3.6V or 4.5 to 5.5V Signal isolation: -60dB Bandwidth (3dB): 500 MHz Small package options Eliminates need for extra components, programming, and/or timing constraints

19 ASIC UPDATE 18

20 Integrated Circuit Development Services Flexible Engagement Model Full Turn-Key Customer provides performance specification Cobham does complete design, including ASIC specification development FPGA Conversion Either Cobham conversion or Customer conversion Co-Design Cobham designs analog, Customer provides Netlist Customer designs some analog, Cobham provides Netlist, etc. Netlist Handoff Gate-level netlist provided by Customer Cobham does physical design GDSII Handoff Cobham procures tooling Design for manufacturability, test program development, backend assembly Backend Assembly and Test Customer provides wafers/die Test Program Development 19 Transforming Commercial Technologies for Harsh Environment Applications

21 Integrated Circuit Development Services Core digital IC technology platforms Node Description Voltages 0.60 µm 3LM N-Well CMOS, Gate Array QML Q,V Trusted and Classified development flows 0.25 µm 4LM Substrate-Isolated CMOS, Cell Based QML Q, V Chain of custody and Classified development flows 130 nm 7LM Substrate-Isolated CMOS, Cell Based QML Q, Q+ Chain of custody and Classified development flows 90 nm 7LM Substrate-Isolated CMOS, Cell Bases QML Q, V, Y Trusted and Classified development flows Core: 3.3V, 5V Digital I/O: 3.3V, 5V Core: 3.3V, 5V Digital I/O: 3.3V, 5V Core: 1.2V Digital I/O: 1.3V, 2.5V, 3.3V Core: 1.0V Digital I/O: 1.8V, 2.5V Digital design capability across multiple technology platforms 20 Transforming Commercial Technologies for Harsh Environment Applications

22 Integrated Circuit Development Services Core Mixed Signal IC Technology platforms Node Description Voltages 0.60 µm 3LM N-Well CMOS, with NVM Core: 3.3V, 5V Digital I/O: 3.3V, 5V Analog: 5V 0.35 µm 4LM Substrate-Isolated CMOS 4LM Substrate-Isolated BiCMOS / CMOS / DMOS, with NVM QML Q/V Chain of custody and Classified development flows 180 nm 7LM Substrate-Isolated CMOS, with NVM 7LM Substrate-Isolated BCD, with NVM QML Q/V/Y Chain of custody and Classified development flows 130/110 nm 8LM Substrate-Isolated CMOS, with NVM QML Q/Q+ Chain of custody and Classified development flows 65/55 nm 8LM+ Substrate-Isolated CMOS, with NVM, RF Chain of custody and Classified development flows Core: 3.3V, 5V Digital I/O: 3.3V, 5V Analog: 5V, 6V to 65V Core: 1.8V, 3.3V, 5V Digital I/O: 1.8V, 3.3V Analog: 3.3V to 80V Core: 1.2V Digital I/O: 1.3V, 2.5V, 3.3V Analog: 3.3V Core: 0.9V to 1.2V Digital I/O: 1.8V, 2.5V, 3.3V Analog: 5V Mixed signal design capability across multiple technology platforms 21 Transforming Commercial Technologies for Harsh Environment Applications

23 IC Packaging and Assembly Technology Capabilities Current Package and Assembly Offerings QML ceramic packages from 12 to 1752 I/O; QFP, DFP, FP, LGA, CGA, PGA Commercial PBGA packages from 81 to 484 I/O; QFN packages from 12 to 64 I/O; PQFP packages from 40 to 208 I/O QML assembly to Class Q, V, and Y flows Advanced Packaging Offerings QML-qualified stacked die technology Class Y flip-chip assembly technology QML solder column attach QML passive attach and heat sink attach Future Package Development Efforts Flip-chip on organic package technology to accommodate increased speeds and decreased pitches Plastic package technology for Small Sat applications 2.5/3D package solutions for higher density and increased capacity Custom Plug & Sense SM packaging of sensor and read-out electronics 22 Transforming Commercial Technologies for Harsh Environment Applications

24 Cobham Semiconductor Solutions Sensing and Imaging Signal Chain Solutions ROIC s Embedded processors Data convertors Customer embedded IP Low power design Hi-Rel design Sensing Element Imaging Array Cobham Sensing/Imaging Solution Space Analog Interface ADC Signal Processing Digital Processing Connectivity FPA Bias, Gate Driver, Control Circuitry Timing Generation and Control Data Interface and Control Logic Power and Battery Management/Device Protection ** Customer collaboration and IP integration possible Collaborative ASIC, ASSP, and Standard Product Solutions Engagement Model Turn-key, semi-custom, design and layout services, development projects Merging Cobham, third-party, and customer IP High reliability qualification and manufacturing End-to-end supply chain management for Military/DOD/Hi-Rel components 23 Transforming Commercial Technologies for Harsh Environment Applications

25 POWER DISTRIBUTION MODULES 24

26 Power Distribution Modules (PDMs) Now Have Achieved TRL 9 on Multiple Missions 25

27 PDM Competitive Advantage 28V Bus, 1V Out Delivering 50A IBC/NiPOL Approach 1 IBC delivering 100W Area: 4.5 in 2 Efficiency: 80% 4 NiPOLs delivering 12A 1V Paralleling will add complexity NRE needed to complete power supply design Total Area 7.84 in 2 Efficiency: 71% Total Efficiency 57% Total Area in 2 Power Delivered 48W IRM/iPOL Approach IRM Efficiency: 92% 28V Bus, 40V Area: 2.16 in 2 ipol Efficiency: 89% 40Vin 1V 50A Area: 1.94 in 2 Total Efficiency 82% Total Area 4.10 in 2 Power Delivered 50W IBC/NiPOL Approach takes 210% more board area and requires more NRE 26

28 IRM Products available IRM Type Input Voltage Range Maximum Input Voltage UV and OV Voltages Output Power to 36V 60V 21.5V V 100W to 78V 125V 59V - 80V 75W to 105V 125V 90V - 106V 75W IRM All IRMs have the same output characteristics, use the same Ros and Rg resistor values, have the same PC, RAL, Sleep, and VC characteristics, have the same pin outs and the same footprint. 27

29 ipol Products available ipol 613xxx ipol 612xxx 28

30 Modular Method of Design To optimize designing with this modular approach, set the IRM output voltage to a voltage that can be used by multiple ipols Parallel ipols for increased current capability A single resistor sets the IRM output voltage Output V K factor Vib Supply I 1.0V 1/40, 1/32 40V, 32V 50A, 50A 1.8V 1/24, 1/ V, 28.8V 37.5A, 16.7A 2.5V 1/16, 1/12 40V, 30V 16.7A, 12.5A 3.3V 1/12, 1/8 39.6V, 26.4V 12.5A, 8.3A 5.0V 1/8, 1/6 40V, 30V 8.3A, 6.25A -5.0V 1/8, 1/6 40V, 30V 8.3A, 6.25A 12V ¼, 1/3 48V, 36V 4.16A, 3.13A 29

31 30 QUESTIONS?

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS UT840 LEON Quad Core First Silicon Results Cobham Semiconductor

More information

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 1 The proposed presentation explores the use of commercial processes, including deep-sub micron process technology, package

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

Mixed Signal ICs for Space

Mixed Signal ICs for Space Power Matters. TM Mixed Signal ICs for Space Microsemi Space Forum 2015 Dorian Johnson Product Marketing Manager High Reliability ICs 1 New Products Legacy Custom Mixed Signal ICs for Space SSM Telemetry

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

SCS750. Super Computer for Space. Overview of Specifications

SCS750. Super Computer for Space. Overview of Specifications SUPER COMPUTER FOR SPACE TM Super Computer for Space F FLIGHT MODULE Overview of Specifications One board upset every 100 years in a GEO or LEO Orbit Up to 1000X Better Performance Than Current Space Processor

More information

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013 DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES Flight Software Workshop 2013 12/12/2013 Daniel Hellström Presentation does not contain U.S. Export controlled information (aka ITAR) 12/08/13

More information

ASICs Digital and Mixed-Signal

ASICs Digital and Mixed-Signal ASICs Digital and Mixed-Signal Brochure January 2018 Digital and Mixed-Signal custom, semi custom, off-the-shelf designs with Cobham Gaisler IP Guaranteed radiation performance QML-V, QML-Q, QML-Y, military,

More information

S950 3U cpci Radiation Tolerant PowerPC SBC

S950 3U cpci Radiation Tolerant PowerPC SBC S950 3U cpci Radiation Tolerant PowerPC SBC Designed for LEO, Mars Terrestrial with an Option for GEO Environments Single-Slot Conduction-Cooled 3U CompactPCI (cpci) Single Board Computer (SBC) High Performance

More information

CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS

CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS Katherine Conway, Bert Vermeire, Jordan Healea, David Strobel Space Micro Inc. CubeSat Developers Workshop 2017 Cal Poly San Luis Obispo April 26-28,

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 EMC2 Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 Introduction Multi-core architectures will be adopted in the next generations of avionics and aerospace systems. Integrated

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Space: The Final Frontier FPGAs for Space and Harsh Environments

Space: The Final Frontier FPGAs for Space and Harsh Environments Space: The Final Frontier FPGAs for Space and Harsh Environments Introduction FPGAs offer several benefits to the system designer Flexibility of Design performance, upgrades Reduction in NRE and Cost.

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

Advanced Concepts and Components for adaptive Avionics

Advanced Concepts and Components for adaptive Avionics Advanced Concepts and Components for adaptive Avionics Ph. Armbruster Head of Data Systems Division ESTEC 03/03/2016 AVIONICS : Cost reduction as a challenge AVIONICS include: Data Handling TM/TC Attitude

More information

European LVDS Driver Development and ESCC Evaluation and Qualification

European LVDS Driver Development and ESCC Evaluation and Qualification European LVDS Driver Development and ESCC Evaluation and Qualification Aeroflex Gaisler AB Kungsgatan 12, SE-41119 Gothenburg, Sweden info@gaisler.com +46 31 775 86 50 1 Quick introduction ESA Contract

More information

GR740 Technical Note on Benchmarking and Validation

GR740 Technical Note on Benchmarking and Validation GR740 Technical Note on Benchmarking and Validation Technical Note 2018-08-20 Doc. No Issue 3.0 Date: 2018-08-20 Page: 2 of 15 CHANGE RECORD Issue Date Section / Page Description 2.0 2016-12-28 All 2.1

More information

PowerPC- based Processor Modules for Space Applications

PowerPC- based Processor Modules for Space Applications PowerPC- based Processor Modules for Space Applications Patria Systems OY Jaakko Toivonen 12.09.2006 PowerPC- based Single Board Computers Two Single Board Computer (SBC) modules targeted for space applications:

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer Industry challenges The industry continues

More information

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 1 of 11 COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 2 of 11 TABLE OF CONTENTS 1 INTRODUCTION... 3 1.1 Scope of the Document... 3 1.2 Reference

More information

Multi-DSP/Micro-Processor Architecture (MDPA)

Multi-DSP/Micro-Processor Architecture (MDPA) Multi-DSP/Micro-Processor Architecture (MDPA) Microelectronics Presentation Days 2010 30 March 2010, ESA/ESTEC, Noordwijk T. Helfers; E. Lembke; P. Rastetter; O. Ried Astrium GmbH Content Motivation MDPA

More information

Introduction to LEON3, GRLIB

Introduction to LEON3, GRLIB Introduction to LEON3, GRLIB Adi Katav akatav@kaltech.co.il 6201129 4(0) 972+ Ext 101 Introduction to LEON3, GRLIB Few words about KAL: KAL provides professional ASIC consultancy for Digital/Analog ASIC

More information

AT697E LEON2-FT Final Presentation

AT697E LEON2-FT Final Presentation AT697E LEON2-FT Final Presentation ESA contract 15036/01/NL/FM Nicolas RENAUD ATMEL Nantes + 33 2 40 18 17 19 nicolas.renaud@nto.atmel.com Overview Initial objectives design phase Validation and characterisation

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit ATMEL ATF280E Rad Hard SRAM Based FPGA Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit Overview Atmel FPGA Key Points and Architecture ATF280E Radiation Test Results 2 Overview Atmel FPGA Key

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

GR740 Processor development

GR740 Processor development The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS GR740 Processor development Magnus Hjorth, Cobham Gaisler AB Space

More information

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies Your Company Logo Here Flying High-Performance FPGAs on Satellites: Two Case Studies Introduction Often when considering flying a FPGA or other high-performance device the first thoughts might be how will

More information

Product Specification PE95421

Product Specification PE95421 Product Description The PE95421 is an RF SPDT switch available in a hermetically sealed ceramic package and also available in die. It covers a broad range of applications from 1-to-8500 MHz and has been

More information

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform QPO High-eliability QML Certified and adiation Hardened Products for Aerospace and Defense Applications January 21, 2 (v2.) 7* The High-eliability Programmable Leader Xilinx is the leading supplier of

More information

Development Status for JAXA Critical Parts, 2008

Development Status for JAXA Critical Parts, 2008 The 21st Microelectronics Workshop Development Status for JAXA Critical Parts, 2008 Oct. 7th 2008 Electronic Components and Devices Group Aerospace Research and Development Directorate, JAXA Hiroyuki SHINDOU

More information

Development an update. Aeroflex Gaisler

Development an update. Aeroflex Gaisler European SpaceWire Router Development an update Sandi Habinc Aeroflex Gaisler Demand for SpaceWire Router Both European and international customers have shown interest in SpaceWire router with greater

More information

ACU6. Technical Reference Manual. Specifications Interfacing Dimensions. Document topics. ANSARI Controller Unit Type 6 technical reference manual

ACU6. Technical Reference Manual. Specifications Interfacing Dimensions. Document topics. ANSARI Controller Unit Type 6 technical reference manual ACU6 Technical Reference Manual ANSARI Controller Unit Type 6 technical reference manual Document topics Specifications Interfacing Dimensions Document Version: 1.03 13. January 2013 By ANSARI GmbH Friedrich-Ebert-Damm

More information

High Reliability Electronics for Harsh Environments

High Reliability Electronics for Harsh Environments High Reliability Electronics for Harsh Environments Core Capabilities API Technologies is a world leader in the supply of microelectronic products and services supporting mission critical applications,

More information

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions (NOTE - FAQs WILL BE UPDATED ON A REGULAR BASIS) Introduction: QuickLogic has licensed their metal-to-metal Vialink TM technology

More information

VORAGO TECHNOLOGIES. Rad-hard CMOS Based Technology for Space Ross Bannatyne (512)

VORAGO TECHNOLOGIES. Rad-hard CMOS Based Technology for Space Ross Bannatyne (512) VORAGO TECHNOLOGIES Rad-hard CMOS Based Technology for Space Ross Bannatyne rbannatyne@voragotech.com (512) 550-2954 Space Parts Working Group Torrance, CA April 4-5th, 2017 VORAGO Technologies Privately

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Scalable Sensor Data Processor Development Status DSP Day - September 2014

Scalable Sensor Data Processor Development Status DSP Day - September 2014 Scalable Sensor Data Processor Development Status DSP Day - September 2014 83230347-DOC-TAS-EN-003 Presentation of the SSDP ASIC Program & Context SSDP will be the first Space Qualified European multi-core

More information

DEFENSE & SECURITY CONNECTIVITY SOLUTIONS

DEFENSE & SECURITY CONNECTIVITY SOLUTIONS DEFENSE & SECURITY CONNECTIVITY THE RELIABLE EXPERT THE RELIABLE EXPERT 1 DESIGNING AND MANUFACTURING MILITARY CONNECTORS AND CABLE ASSEMBLIES FOR MORE THAN 60 YEARS 2 MISSION-CRITICAL INTERCONNECT TO

More information

Obsolescence Solutions

Obsolescence Solutions Obsolescence Solutions Strategic Obsolescence Management Sales Office North Robert-Bosch-Strasse 25 25335 Elmshorn Germany phone: +49-4121-463-900 fax: +49-4121-463-901 e-mail: schroeder@kamaka.de Headquarters

More information

VORAGO TECHNOLOGIES. Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies

VORAGO TECHNOLOGIES. Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies VORAGO TECHNOLOGIES Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies VORAGO Technologies, Austin, Texas.

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Space Micro Satellite Computer Goals Space Computer Performance Goals: >1,000 MIPS throughput Less than 1 SEU in 1,000 days Less than 10 watts power R

Space Micro Satellite Computer Goals Space Computer Performance Goals: >1,000 MIPS throughput Less than 1 SEU in 1,000 days Less than 10 watts power R Low Power, High-Speed Radiation Tolerant Computer & Flight Experiment Space Micro, Inc. dcz@spacemicro.com D. Czajkowski, M. Pagey, P. Samudrala, M. Goksel, and M. Viehman Space Micro, Inc., 9765 Clairemont

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Ensuring Power Supply Sequencing in Spaceflight Systems

Ensuring Power Supply Sequencing in Spaceflight Systems Ensuring Power Supply Sequencing in Spaceflight Systems Introduction In today's complex multi-rail power systems, power sequencing and fault monitoring are essential to boost the performance and the health

More information

Product Specification PE95421

Product Specification PE95421 Product Description The PE95421 is an RF SPDT switch available in a hermetically sealed ceramic package and also available in die. It covers a broad range of applications from 1-to-8500 MHz and has been

More information

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France.

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. ESA-CNES Deep Sub-Micron program ST 65nm Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. Agenda 2 Presentation DSM 65nm challenges DSM 65nm Supply-chain actors ESA-CNES 65nm Program

More information

European LVDS driver development and ECSS evaluation and qualification

European LVDS driver development and ECSS evaluation and qualification European LVDS driver development and ECSS evaluation and qualification resenter: Jørgen Ilstad 18 th SpW working group SpW component development ESTEC 25/04/2012 ESA UCLASSIFIED For Official Use ECI phase

More information

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM R DS126 (v1.0) December 18, 2003 0 8 Product Specification 0 QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM Features Latch-Up Immune to LET >120 MeV/cm 2 /mg Guaranteed TID of 50 krad(si)

More information

ESA Contract 18533/04/NL/JD

ESA Contract 18533/04/NL/JD Date: 2006-05-15 Page: 1 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under ESA contract. Responsibility for the contents resides in the author or organisation that

More information

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns.

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns. High Density Interconnect Technology (HDI) for Chip Scale and Land Grid Array Packaging for Space Qualifiable Radiation Hardened Systems on a Chip Solutions Sasan Ardalan, Donald Elkins Microelectronics

More information

QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR)

QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR) 0 QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR) DS062 (v3.1) November 5, 2001 0 2 Preliminary Product Specification Features XQ1701L/XQR1701L QML Certified Configuration

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

Datasheet DFBM-NQ62X-DT0R. A Bluetooth Low Energy System On Chip Module. Proprietary Information and Specifications are Subject to Change

Datasheet DFBM-NQ62X-DT0R. A Bluetooth Low Energy System On Chip Module. Proprietary Information and Specifications are Subject to Change 1 Datasheet DFBM-NQ62X-DT0R A Bluetooth Low Energy System On Chip Module. Preliminary Data Sheet Sheet 1 of 18 Aug. 16, 2016 Contents 1. Features... 3 1-1. General... 3 1-2. Bluetooth... 3 2. Model No.

More information

Migrating from the UT699 to the UT699E

Migrating from the UT699 to the UT699E Standard Products Application Note Migrating from the UT699 to the UT699E January 2015 www.aeroflex.com/leon Table 1.1 Cross Reference of Applicable Products Product Name: Manufacturer Part Number SMD

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

Description. EPPL (2) Target Temp. range. Notes: (1) SMD = standard microcircuit drawing. (2) EPPL = ESA preferred part list

Description. EPPL (2) Target Temp. range. Notes: (1) SMD = standard microcircuit drawing. (2) EPPL = ESA preferred part list Rad-hard LVDS serializer Datasheet - production data Features 15 to 75 MHz shift clock support Fail-safe function 8 kv HBM on LVDS pins Power-down mode < 216 µw (max) Cold sparing all pins Narrow bus reduces

More information

Closed-loop Delfino Control Systems: Multiple Industrial Protocol Support using the AMIC110 Sitara Processor

Closed-loop Delfino Control Systems: Multiple Industrial Protocol Support using the AMIC110 Sitara Processor Closed-loop Delfino Control Systems: Multiple Industrial Protocol Support using the AMIC110 Sitara Processor Part 2: Implementation of multiprotocol industrial communications solutions Training series

More information

VORAGO TECHNOLOGIES. 6 th Interplanetary CubeSat Workshop Cambridge, May, 2017

VORAGO TECHNOLOGIES. 6 th Interplanetary CubeSat Workshop Cambridge, May, 2017 VORAGO TECHNOLOGIES Radiation-hardened ARM Cortex -M0 Microcontroller for CubeSats / SmallSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies Privately held fabless semiconductor

More information

T1042-based Single Board Computer

T1042-based Single Board Computer T1042-based Single Board Computer High Performance/Low Power DO-254 Certifiable SBC IP Features and Benefits Part of the COTS-D family of safety certifiable modules Single conduction-cooled rugged module

More information

UT54LVDS218 Deserializer Data Sheet September, 2015

UT54LVDS218 Deserializer Data Sheet September, 2015 Standard Products UT54LVDS218 Deserializer Data Sheet September, 2015 The most important thing we build is trust FEATURES 15 to 75MHz shift clock support 50% duty cycle on receiver output clock Low power

More information

VORAGO TECHNOLOGIES. Radiation-Hardened Solutions for CubeSats Ross Bannatyne, VORAGO Technologies

VORAGO TECHNOLOGIES. Radiation-Hardened Solutions for CubeSats Ross Bannatyne, VORAGO Technologies VORAGO TECHNOLOGIES Radiation-Hardened Solutions for CubeSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies Privately held fabless semiconductor company headquartered

More information

Next generation RadHard MOSFETs

Next generation RadHard MOSFETs Next generation RadHard MOSFETs SPWG 2017 Andrew.Popp@IRHiRel.com - Space Products Marketing IR HiRel Design & Manufacturing Facilities El Segundo, CA Temecula, CA MIL-PRF-19500 Class S qualified for wafer

More information

Digital Control for Space Power Management Devices

Digital Control for Space Power Management Devices Template reference : 100182079N-EN Digital Control for Space Power Management Devices Work conducted under ESA Contract nr.21826/08/nl/lvh DIGITAL POWER CONTROL Management of power devices via digital

More information

GR740 Technical Note on Benchmarking and Validation

GR740 Technical Note on Benchmarking and Validation GR740 Technical Note on Benchmarking and Validation Technical Note 2019-01-29 Doc. No Issue 3.3 Date: 2019-01-29 Page: 2 of 16 CHANGE RECORD Issue Date Section / Page Description 2.0 2016-12-28 All 2.1

More information

GAUSS OBC ABACUS 2017

GAUSS OBC ABACUS 2017 [] Table of contents Table of contents... 1 1. Introduction... 3 1.1. ABACUS Features... 3 1.2. Block Diagram... 6 2. Pinouts... 7 3. Inertial Measurement Unit Details... 10 3.1. Orientation of Axes...

More information

CMOS Microcamera for Space Applications 3D PLUS

CMOS Microcamera for Space Applications 3D PLUS CMOS Microcamera for Space Applications 3D PLUS Charles SELLIER, Didier GAMBART October 2016, 20 th ICSO 2016, Biarritz / France All Rights Reserved 3D PLUS 2015 MNT 2016 1 Agenda 3D PLUS company Micro

More information

UT8MR8M8-EVB 64Megabit Non- Volatile MRAM Evaluation Board User Manual July

UT8MR8M8-EVB 64Megabit Non- Volatile MRAM Evaluation Board User Manual July Standard Products UT8MR8M8-EVB 64Megabit Non- Volatile MRAM Evaluation Board User Manual July 0 www.aeroflex.com/memories.0 INTRODUCTION The Aeroflex 64Megabit Non-Volatile magnetoresistive random access

More information

Analog, Mixed-Signal and Power Management

Analog, Mixed-Signal and Power Management Analog, Mixed-Signal and Power Management MM912_637 Applications Battery Current / Voltage / Temperature Monitoring Battery State of Charge Monitoring Battery State of Health Monitoring Xtrinsic Integrated

More information

Plug and Play Satellite Evolution

Plug and Play Satellite Evolution Plug and Play Satellite Evolution AIAA/USU Conference On Small Satellites August, 2009 SSC09-VI-4 Don Fronterhouse PnP Innovations, Inc SPA Genealogical Tree Adaptive Avionics Experiment Responsive Space

More information

SpaceWire Router - Status

SpaceWire Router - Status Router - Status Working Group Meeting Dr. Stephan Fischer Dr. Steve Parkes Gerald Kempf Pierre Fabry EADS Astrium GmbH University of Dundee Austrian Aerospace GmbH ESA ESA, Noordwijk 15. Sep. 004 Outline

More information

C900 PowerPC G4+ Rugged 3U CompactPCI SBC

C900 PowerPC G4+ Rugged 3U CompactPCI SBC C900 PowerPC G4+ Rugged 3U CompactPCI SBC Rugged 3U CompactPCI SBC PICMG 2.0, Rev. 3.0 Compliant G4+ PowerPC 7447A/7448 Processor @ 1.1 Ghz with AltiVec Technology Marvell MV64460 Discovery TM III System

More information

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

GR716 Single-Core LEON3FT Microcontroller. Cobham Gaisler AMICSA 2018

GR716 Single-Core LEON3FT Microcontroller. Cobham Gaisler AMICSA 2018 GR716 Single-Core LEON3FT Microcontroller Cobham Gaisler AMICSA 2018 Introduction Description The GR716 features a fault-tolerant LEON3 SPARC V8 processor, communication interfaces and on-chip ADC, DAC,

More information

Products, Services & Capabilities

Products, Services & Capabilities Products, Services & Capabilities Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Overview Company Overview Founded 1986 Over 5,000 products

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

RAD6000 Space Computers

RAD6000 Space Computers RAD6000 Space Computers RAD6000 space computers RAD6000 single-board computers combine commercial standards with unique radiation-hardened technology and packaging to meet the specific requirements of

More information

GR-MCC-C ProAsic3E Development Board

GR-MCC-C ProAsic3E Development Board GR-MCC-C ProAsicE Development Board AEROFLEX GAISLER AB Rev.., 0-0- Information furnished by Aeroflex Gaisler AB is believed to be accurate and reliable. However, no responsibility is assumed by Aeroflex

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3 C11 Rugged 6U VPX Single-Slot SBC Freescale QorIQ Multicore SOC 1/8/4 e6500 Dual Thread Cores (T440/T4160/T4080) Altivec Unit Secure Boot and Trust Architecture.0 4 GB DDR3 with ECC 56 MB NOR Flash Memory

More information

Four-Channel Universal Analog Input Using the MAX11270

Four-Channel Universal Analog Input Using the MAX11270 Four-Channel Universal Analog Input Using the MAX70 MAXREFDES5 Introduction The MAXREFDES5 is a four-channel universal analog input that measures voltage or current signals. Each channel can be configured

More information

Attaining PMBus Adoption in Spaceborne Power Systems

Attaining PMBus Adoption in Spaceborne Power Systems Attaining PMBus Adoption in Spaceborne Power Systems APEC 2018 PMBus Industry Session Presented by: Tim Meade Staff Applications Engineer Sr. Pr. Cobham Semiconductor Solutions March 8, 2018 0 Agenda Introduction

More information

Typical Applications: GHz Bluetooth low energy systems - Proprietary 2.4 GHz systems - Sports and leisure equipment - Mobile phone accessories,

Typical Applications: GHz Bluetooth low energy systems - Proprietary 2.4 GHz systems - Sports and leisure equipment - Mobile phone accessories, PTR5618 Coin-size Ultra Low Power Bluetooth Low Energy System on Module Embedded Cortex M4F 32 bit processor The PTR5618 ultra-low power Bluetooth Low Energy/ANT/2.4GHz Proprietary Multi-protocol modules

More information

UT54LVDM228 Quad 2x2 400 Mbps Crosspoint Switch Data Sheet September, 2015

UT54LVDM228 Quad 2x2 400 Mbps Crosspoint Switch Data Sheet September, 2015 Standard Products UT54LVDM228 Quad 2x2 400 Mbps Crosspoint Switch Data Sheet September, 205 The most important thing we build is trust FEATURES 400.0 Mbps low jitter fully differential data path 200MHz

More information

Design of Next Generation CPU Card for State of the Art Satellite Control Application

Design of Next Generation CPU Card for State of the Art Satellite Control Application Design of Next Generation CPU Card for State of the Art Satellite Control Application Deepa. R [M.Tech], Microelectronics & Control Systems Dayananda Sagar College of Engineering Bangalore, 560078 Rajashekar.

More information

Power Matters. Antifuse Product Information Brochure

Power Matters. Antifuse Product Information Brochure Power atters. Antifuse Product Information Brochure Providing industry-leading FPGAs and SoCs for applications where security is vital, reliability is non-negotiable and power matters. 2 www.microsemi.com/fpga-soc

More information

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG Adam Lindberg github.com/eproxus HARDWARE COMPONENTS SOFTWARE FUTURE Boot, Serial console, Erlang shell DEMO THE GRISP BOARD SPECS Hardware & specifications

More information

ID 020C: Hardware-in-Loop: System Testing Without the System

ID 020C: Hardware-in-Loop: System Testing Without the System ID 020C: Hardware-in-Loop: System Testing Without the System Applied Dynamics International Marcella Haghgooie Sr. Field Applications Engineer 13 October 2010 Version: 1.2 Marcella Haghgooie Sr. Field

More information

SpaceWire 101. Webex Seminar. February 15th, 2006

SpaceWire 101. Webex Seminar. February 15th, 2006 SpaceWire 101 Webex Seminar February 15th, 2006 www.aeroflex.com/spacewire SpaceWire 101 What is SpaceWire Protocol, Links, Basic Communication Architecture Physical Layer Interface and Network Components

More information

Z8 Encore! XP F1680 Series 8-Bit Flash Solution with Extended Peripherals

Z8 Encore! XP F1680 Series 8-Bit Flash Solution with Extended Peripherals Embedded Flash Solutions Z8 Encore! XP F1680 Series High-performance 8-bit Flash MCU F1680 advantage low power - 1.8 V highly integrated peripherals flexible memory options optimized cost/performance target

More information