Digital Design using HDLs EE 4755 Final Examination

Size: px
Start display at page:

Download "Digital Design using HDLs EE 4755 Final Examination"

Transcription

1 Name Digital Design using HDLs EE 4755 Final Examination Thursday, 8 December 26 2:3-4:3 CST Alias Problem Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Exam Total (3 pts) (2 pts) (5 pts) (5 pts) ( pts) ( pts) ( pts) Good Luck!

2 Problem : [3 pts] The diagram and Verilog code belo sho incomplete versions of module prob_seq. This module is to operate something like mag_seq from Homeork 6. When start is at a positive clock edge the module ill set ready to and start computing v*v v*v v*v, here v and v are each IEEE 754 FP single values. The module ill set ready to at the first positive edge after the result is ready. Complete the Verilog code so that the module orks as indicated and is consistent ith the diagram. It is okay to change declarations from, say, logic to uire. But the synthesized hardare cannot change hat is already on the diagram, for example, don t remove a register such as ac and don t insert any ne registers in existing ires, such as those beteen the multiplier inputs and the multiplexors. Don t modify this diagram, rite Verilog code. start prob_seq ready v m CW_fp_mult ac a CW_fp_add result v rnd rnd clk 32'd ac Don t modify this diagram, rite Verilog code. module prob_seq( output uire [3:] result, input uire [3:] v, v, output uire ready, input uire start, clk); uire [7:] mul_s, add_s; uire [3:] mul_a, mul_b; uire [3:] add_a, add_b; uire [3:] prod, ; logic [3:] ac, ac; logic [2:] step; localparam int last_step = ; posedge clk ) if ( start ) step = ; else if ( step last_step ) step = step ; CW_fp_mult ѽ(.a( mul_a ),.b( mul_b ),.rnd(3 d),.z( prod ),.status(mul_s)); CW_fp_add ½(.a( add_a ),.b( add_b ),.rnd(3 d),.z( ),.status(add_s)); assign ready = step == last_step; /// THIS MUST BE CHANGED. /// ÍË Æ Ì È ÇÊ ËÇÄÍÌÁÇÆ endmodule 2

3 Problem, continued: Solution on this page. Complete Verilog so that module computes v*v v*v v*v. Synthesized hardare must be consistent ith diagram, especially synthesized registers. Note that ready must come from a register. Don t skip the easy part: connections to adder. start v v clk Don t modify, Verilog only. prob_seq m CW_fp_mult rnd 32'd ac ac a CW_fp_add rnd ready result module prob_seq( output uire [3:] result, output uire ready, input uire [3:] v, v, input uire start, clk); uire [7:] mul_s, add_s; uire [3:] mul_a, mul_b; uire [3:] add_a, add_b; uire [3:] prod, ; logic [3:] ac, ac; logic [2:] step; localparam int last_step = ; // MUST BE CHANGED. posedge clk ) if ( start ) step = ; else if ( step last_step ) step = step ; CW_fp_mult ѽ(.a( mul_a ),.b( mul_b ),.rnd(3 d),.z( prod ),.status(mul_s)); CW_fp_add ½(.a( add_a ),.b( add_b ),.rnd(3 d),.z( ),.status(add_s)); assign ready = step == last_step; // MUST BE CHANGED. endmodule 3

4 Problem 2: [2 pts] Analyze the timing of the to similar modules on the next page using the timing model used in class, as requested in the subproblems. Ase that all adders are synthesized as a ripple connection of binary full adders and that the comparison units are also based on ripple hardare. (a) Before analyzing the modules, sho the delay of each of the components listed belo using the simple model given in class. For this part ase that all inputs are available at t =. Delay for BFA is: Explain or sho diagram. Delay for a -bit adder is: Explain or sho diagram. Delay for a -bit (less than) comparison unit is: Explain or sho diagram. Delay for a -bit, n-input multiplexor is: Explain or sho diagram. 4

5 Problem 2, continued: (b) Find the length of critical path in the to modules belo using the timings above. Where applicable make the reasonable asption that a ripple adder can start hen its loer bits arrive, not hen all bits of its input are stable. limit greedy_fit limit fcfs_ t a a[] a[] a[2] a[3] a a[] a[] a[2] a[3] Length of critical path for greedy fit in terms of. Sho ork for partial credit. Length of critical path for fcfs fit in terms of. Sho ork for partial credit. 5

6 Problem 3: [5 pts] Complete the Verilog code so that it corresponds to the module shon. limit fcfs_fit Complete module. module fcfs_fit #( int nelts = 4, int = 6 ) ( output logic [-:], input uire [-:] a[nelts], limit ); alays begin // FINISH ALWAYS STATEMENT a a[] a[] a[2] a[3] for ( int i=; inelts; i ) begin end end endmodule 6

7 Problem 4: [5 pts] Appearing to the right is fcfs_cfit, a version of the fcfs_fit module in hich the a input has been changed to a parameter, meaning that a is an elaboration-time constant. Compute the cost of this module using the simple model used in class and accounting for optimization based on the constant values. As in an earlier problem, adders and comparision units are ripple-style. Cost of the a[] comparison unit. Explain. Cost of the a[] adder. Explain. limit 6h'4755 6h'472 6h'3755 6h'274 a[] a[] a[2] a[3] fcfs_cfit n=4, =6, a= Cost of the a[] multiplexor. Explain. Cost of the a[2] multiplexor. Explain. Total cost. 7

8 Problem 5: [ pts] Anser each question belo. (a) A time slot in the Verilog event queue contains many regions, among them active, inactive, and NBA. Explain ho an event gets put in each region. (You can use the next subproblem for examples.) An event is put into the active region hen: An event is put into the inactive region hen: An event is put into the NBA region hen: (b) In the code fragment belo sho the order in hich the statements are executed after the posedge clk. Identify a statement by the value that is assigned. The first to statements executed are a and b, that s shon. (Since a is a nonblocking assignment, the execution of a only means that a as computed, it doesn t mean that a as changed.) Complete the Order of statements list. module regions; posedge clk ) begin a = a ; b = b ; end alays_comb s = a b; alays_comb ax = a 2; alays_comb ay = ax 5; alays_comb by = bx 4; alays_comb bx = b 3; endmodule Order of statements: a, b, 8

9 Problem 6: [ pts] Appearing belo is the pipelined mag module from Homeork 6. (a) Suppose it turns out that the multiply (CW_fp_mult) takes tice as long as the add (CW_fp_add). Based on this fact, modify the pipeline to reduce cost, but ithout affecting clock frequency. Dra in your changes, there s no need to rite Verilog. Also, comment on latency and throughput changes. Modify for loer cost based on faster adder. Does the change help throughput? Does it help latency? mag 32 [] C [][] a [2] v 32 [] C [][] a2 [3] mag 2 32 [2] C [][2] [2][2] Stage Stage Stage 2 (b) Suppose that the v input arrives very early in the clock cycle. Based on this modify the pipeline to reduce cost. Modify for early-arriving v. mag 32 [] [][] a [2] v 32 [] [][] a2 [3] mag 2 32 [2] [][2] [2][2] clk Stage Stage Stage 2 9

Digital Design using HDLs EE 4755 Midterm Examination

Digital Design using HDLs EE 4755 Midterm Examination Name Digital Design using HDLs EE 4755 Midterm Examination Monday, 1 November 214 11:3 12:2 CST Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Exam Total (2 pts) (2 pts) (1 pts) (15

More information

Digital Design using HDLs EE 4755 Midterm Examination

Digital Design using HDLs EE 4755 Midterm Examination Name Digital Design using HDLs EE 4755 Midterm Examination Friday, 21 October 2016 12:30 13:20 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Exam Total (20 pts) (20 pts) (20 pts)

More information

Digital Design using HDLs EE 4755 Midterm Examination

Digital Design using HDLs EE 4755 Midterm Examination Name Digital Design using HDLs EE 4755 Midterm Examination Wednesday, 28 October 2015 11:30 12:20 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (100 pts) Good Luck! Problem 1:

More information

Digital Design using HDLs EE 4755 Final Examination

Digital Design using HDLs EE 4755 Final Examination Nme Solution Digitl Design using HDLs EE 4755 Finl Exmintion Thursdy, 8 Decemer 6 :3-4:3 CST Alis The Hottest Plce in Hell Prolem Prolem Prolem 3 Prolem 4 Prolem 5 Prolem 6 Exm Totl (3 pts) ( pts) (5 pts)

More information

Digital Design using HDLs EE 4755 Final Examination

Digital Design using HDLs EE 4755 Final Examination Name Digital Design using HDLs EE 4755 Final Examination Monday, 8 December 2014 10:00-12:00 CST Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (20 pts) (20 pts) (20 pts) (20 pts) (20

More information

Computer Organization EE 3755 Midterm Examination

Computer Organization EE 3755 Midterm Examination Name Computer Organization EE 3755 Midterm Examination Wednesday, 30 October 2013, 8:30 9:20 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Problem 7 Exam Total (21 pts) (15 pts)

More information

Computer Organization EE 3755 Midterm Examination

Computer Organization EE 3755 Midterm Examination Name Computer Organization EE 3755 Midterm Examination Wednesday, 24 October 2012, 9:30 10:20 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Problem 7 Exam Total (15 pts) (14 pts)

More information

Digital Design using HDLs EE 4755 Final Examination

Digital Design using HDLs EE 4755 Final Examination Name Solution Digital Design using HDLs EE 4755 Final Examination Monday, 8 December 214 1:-12: CST Alias Not Synthesizable Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (2 pts) (2 pts)

More information

Tutorial 8: Practice Exam Questions

Tutorial 8: Practice Exam Questions Tutorial 8: Practice Exam Questions Informatics 1 Data & Analysis Notes on Solutions Week 10, Semester 2, 2017/18 Read this first: it is not the same as the other tutorials Folloing the strike by university

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Midterm Exam Review When / Where Monday, 16 October 2017, 9:30-10:20 CDT 225 Tureaud Hall (Here) Conditions Closed Book, Closed Notes Bring one

More information

Digital Design Using Verilog EE Final Examination

Digital Design Using Verilog EE Final Examination Name Digital Design Using Verilog EE 4702-1 Final Examination 8 May 2000, 7:30 9:30 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (100 pts) Good Luck! Problem 1: The modules below

More information

High-Performance and Area-Efficient Hardware Design for Radix-2 k Montgomery Multipliers

High-Performance and Area-Efficient Hardware Design for Radix-2 k Montgomery Multipliers High-Performance and Area-Efficient Hardare Design for Radix- k Montgomery Multipliers Liang Zhou, Miaoqing Huang, Scott C. Smith University of Arkansas, Fayetteville, Arkansas 771, USA Abstract Montgomery

More information

Computer Organization EE 3755 Midterm Examination

Computer Organization EE 3755 Midterm Examination Name Solution Computer Organization EE 3755 Midterm Examination Wednesday, 24 October 2012, 9:30 10:20 CDT Alias A Century of Turing Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Problem

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

Affine Transformations Computer Graphics Scott D. Anderson

Affine Transformations Computer Graphics Scott D. Anderson Affine Transformations Computer Graphics Scott D. Anderson 1 Linear Combinations To understand the poer of an affine transformation, it s helpful to understand the idea of a linear combination. If e have

More information

Computer Architecture EE 4720 Final Examination

Computer Architecture EE 4720 Final Examination Name Computer Architecture EE 4720 Final Examination Primary: 6 December 1999, Alternate: 7 December 1999, 10:00 12:00 CST 15:00 17:00 CST Alias Problem 1 Problem 2 Problem 3 Problem 4 Exam Total (25 pts)

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Power Efficient Solutions w/ FPGAs. Bill Jenkins Altera Sr. Product Specialist for Programming Language Solutions

Power Efficient Solutions w/ FPGAs. Bill Jenkins Altera Sr. Product Specialist for Programming Language Solutions 1 Poer Efficient Solutions / FPGs Bill Jenkins ltera Sr. Product Specialist for Programming Language Solutions System Challenges CPU rchitecture is inefficient for most parallel computing applications

More information

Industrial Data Communications - Fundamentals

Industrial Data Communications - Fundamentals Industrial Data Communications - Fundamentals Tutorial 1 This tutorial on the fundamentals of communications is broken don into the folloing sections: Communication Modes Synchronous versus Asynchronous

More information

Lecture 12 March 16, 2010

Lecture 12 March 16, 2010 6.851: Advanced Data Structures Spring 010 Prof. Erik Demaine Lecture 1 March 16, 010 1 Overvie In the last lecture e covered the round elimination technique and loer bounds on the static predecessor problem.

More information

Digital Design using HDLs EE 4755 Midterm Examination

Digital Design using HDLs EE 4755 Midterm Examination Name Digital Design using HDLs EE 4755 Midterm Examination Monday, 16 October 217 9:3 1:2 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Exam Total (2 pts) (2 pts) (2 pts) (15 pts)

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Universal Turing Machine Chomsky Hierarchy Decidability Reducibility Uncomputable Functions Rice s Theorem Decidability Continued

Universal Turing Machine Chomsky Hierarchy Decidability Reducibility Uncomputable Functions Rice s Theorem Decidability Continued CD5080 AUBER odels of Computation, anguages and Automata ecture 14 älardalen University Content Universal Turing achine Chomsky Hierarchy Decidability Reducibility Uncomputable Functions Rice s Decidability

More information

Digital Design Using Verilog EE Midterm Examination

Digital Design Using Verilog EE Midterm Examination Name Solution Digital Design Using Verilog EE 4702-1 Midterm Examination 5 April 2000 8:40-9:30 CDT Alias always @( posedge ) Problem 1 Problem 2 Exam Total (40 pts) (60 pts) (100 pts) Good Luck! Problem

More information

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE COMP 12111 Two hours - online This paper version is made available as a backup In this event, only MCQ answers written in the boxes on the exam paper will be marked. EXAM PAPER MUST NOT BE REMOVED FROM

More information

Plug-in Board Editor for PLG150-DR/PLG150-PC

Plug-in Board Editor for PLG150-DR/PLG150-PC Plug-in Board Editor for PLG150-DR/PLG150-PC Oner s Manual Contents Introduction.........................................2 Starting Up.........................................3 Assigning the PLG150-DR/PLG150-PC

More information

January 1996, ver. 1 Functional Specification 1

January 1996, ver. 1 Functional Specification 1 FIR Filters January 1996, ver. 1 Functional Specification 1 Features High-speed operation: up to 105 million samples per second (MSPS) -, 16-, 24-, 32-, and 64-tap finite impulse response (FIR) filters

More information

Project 1: Creating and Using Multiple Artboards

Project 1: Creating and Using Multiple Artboards E00ILCS.qxp 3/19/2010 1:0 AM Page 7 Workshops Introduction The Workshop is all about being creative and thinking outside of the box. These orkshops ill help your right-brain soar, hile making your left-brain

More information

Formats. SAS Formats under OpenVMS. Writing Binary Data CHAPTER 13

Formats. SAS Formats under OpenVMS. Writing Binary Data CHAPTER 13 263 CHAPTER 13 Formats SAS Formats under OpenVMS 263 Writing Binary Data 263 SAS Formats under OpenVMS A SAS format is an instruction or template that the SAS System uses to rite data values. Most SAS

More information

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering VERILOG FUNDAMENTALS HDLs HISTORY HOW FPGA & VERILOG ARE RELATED CODING IN VERILOG HDLs HISTORY HDL HARDWARE DESCRIPTION LANGUAGE

More information

EE178 Spring 2018 Lecture Module 4. Eric Crabill

EE178 Spring 2018 Lecture Module 4. Eric Crabill EE178 Spring 2018 Lecture Module 4 Eric Crabill Goals Implementation tradeoffs Design variables: throughput, latency, area Pipelining for throughput Retiming for throughput and latency Interleaving for

More information

Computer Architecture EE 4720 Midterm Examination

Computer Architecture EE 4720 Midterm Examination Name Computer Architecture EE 4720 Midterm Examination Friday, 22 March 2002, 13:40 14:30 CST Alias Problem 1 Problem 2 Problem 3 Exam Total (35 pts) (25 pts) (40 pts) (100 pts) Good Luck! Problem 1: In

More information

Course Topics - Outline

Course Topics - Outline Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types Lecture 4 - Operators Lecture 5 - Behavioral modeling A Lecture 6 Behavioral modeling B Lecture 7

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) uiz - Spring 2004 Prof. Anantha Chandrakasan Student Name: Problem

More information

Amrita Vishwa Vidyapeetham. EC429 VLSI System Design Answer Key

Amrita Vishwa Vidyapeetham. EC429 VLSI System Design Answer Key Time: Two Hours Amrita Vishwa Vidyapeetham B.Tech Second Assessment March 2013 Eighth Semester Electrical and Electronics Engineering EC429 VLSI System Design Answer Key Answer all Questions Roll No: Maximum:

More information

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified.

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. 1 In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. I will also introduce the idea of a testbench as part of a design specification.

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx CSE4L: Components and Design Techniques for Digital Systems Lab Verilog HDL Instructor: Mohsen Imani UC San Diego Source: Eric Crabill, Xilinx System Tasks The $ sign denotes Verilog system tasks, there

More information

Lecture 1: Turtle Graphics. the turtle and the crane and the swallow observe the time of their coming; Jeremiah 8:7

Lecture 1: Turtle Graphics. the turtle and the crane and the swallow observe the time of their coming; Jeremiah 8:7 Lecture 1: Turtle Graphics the turtle and the crane and the sallo observe the time of their coming; Jeremiah 8:7 1. Turtle Graphics Motion generates geometry. The turtle is a handy paradigm for investigating

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

ECE Digital Engineering Laboratory. Designing for Synthesis

ECE Digital Engineering Laboratory. Designing for Synthesis ECE 554 - Digital Engineering Laboratory Designing for Synthesis Below is a list of coding styles that might cause synthesis problems and/or inefficient design implementation. This is not an exhaustive

More information

10.2 Single-Slit Diffraction

10.2 Single-Slit Diffraction 10. Single-Slit Diffraction If you shine a beam of light through a ide-enough opening, you might expect the beam to pass through ith very little diffraction. Hoever, hen light passes through a progressively

More information

HW/SW Partitioning of an Embedded Instruction Memory Decompressor

HW/SW Partitioning of an Embedded Instruction Memory Decompressor HW/SW Partitioning of an Embedded Instruction Memory Decompressor Shlomo Weiss and Shay Beren EE-Systems, Tel Aviv University Tel Aviv 69978, ISRAEL ABSTRACT We introduce a ne PLA-based decoder architecture

More information

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013 EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013 Print Here Student ID Signature This is a closed book exam. The exam is to be completed in one-hundred ten (110) minutes. Don t use scratch

More information

Fast and Scalable Conflict Detection for Packet Classifiers

Fast and Scalable Conflict Detection for Packet Classifiers Fast and Scalable Conflict Detection for Packet Classifiers Florin Baboescu, George Varghese Dept. of Computer Science and Engineering University of California, San Diego 95 Gilman Drive La Jolla, CA9293-4

More information

Design Guidelines for Using DSP Blocks

Design Guidelines for Using DSP Blocks Design Guidelines for Using DSP Blocks in the LeonardoSpectrum Software April 2002, ver. 1.0 Application Note 194 Introduction Altera R Stratix TM devices have dedicated digital signal processing (DSP)

More information

Height of a Heap. Heaps. 1. Insertion into a Heap. Heaps and Priority Queues. The insertion algorithm consists of three steps

Height of a Heap. Heaps. 1. Insertion into a Heap. Heaps and Priority Queues. The insertion algorithm consists of three steps Heaps A heap is a binary tree storing keys at its nodes and satisfying the folloing properties:! Heap-Order: " for every internal node v other than the root, key(v)! key(parent(v))! Complete Binary Tree:

More information

K d (490) models characterisation Issue 1 revision 0 Gilbert Barrot, ACRI-ST 05/jul/2006

K d (490) models characterisation Issue 1 revision 0 Gilbert Barrot, ACRI-ST 05/jul/2006 K d (490) models characterisation Issue 1 revision 0 Gilbert Barrot, ACRI-ST 05/jul/2006 K d (490) is the diffuse attenuation coefficient at 490 nm. It is one indicator of the turbidity of the ater column.

More information

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

EE 231 Fall EE 231 Homework 8 Due October 20, 2010 EE 231 Homework 8 Due October 20, 20 1. Consider the circuit below. It has three inputs (x and clock), and one output (z). At reset, the circuit starts with the outputs of all flip-flops at 0. x z J Q

More information

ECE 2300 Digital Logic & Computer Organization. More Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Finite State Machines ECE 2300 Digital Logic & Computer Organization Spring 2018 More Finite State Machines Lecture 9: 1 Announcements Prelab 3(B) due tomorrow Lab 4 to be released tonight You re not required to change partner(s)

More information

Informats. SAS Informats under OpenVMS. Reading Binary Data CHAPTER 15

Informats. SAS Informats under OpenVMS. Reading Binary Data CHAPTER 15 321 CHAPTER 15 Informats SAS Informats under OpenVMS 321 Reading Binary Data 321 SAS Informats under OpenVMS A SAS informat is an instruction or template that the SAS System uses to read data values into

More information

Broadcast on Clusters of SMPs with Optimal Concurrency

Broadcast on Clusters of SMPs with Optimal Concurrency Broadcast on Clusters of SMPs ith Optimal Concurrency Yuzhong Sun +, David Bader +, and X. Lin * + Department of Electrical and Computer Engineering University of Ne Mexico * Department of Electrical and

More information

Sensor Stream Reduction For Clustered Wireless Sensor Networks

Sensor Stream Reduction For Clustered Wireless Sensor Networks Sensor Stream Reduction For Clustered Wireless Sensor Netorks André L. L. de Aquino 1, Carlos M. S. Figueiredo 2, Eduardo F. Nakamura 2, Alejandro C. Frery 3, Antonio A. F. Loureiro 1, Antônio Otávio Fernandes

More information

1-2 Geometric vectors

1-2 Geometric vectors 1-2 Geometric ectors We are going to start simple, by defining 2-dimensional ectors, the simplest ectors there are. Are these the ectors that can be defined by to numbers only? Yes, and here is a formal

More information

Workshops. Introduction. Project 1: Creating a Master Page. Getting and Using the Project Files. Skills and Tools: Master pages.

Workshops. Introduction. Project 1: Creating a Master Page. Getting and Using the Project Files. Skills and Tools: Master pages. Workshops Introduction The Workshop is all about being creative and thinking outside of the box. These orkshops ill help your right-brain soar, hile making your left-brain happy; by explaining hy things

More information

Parallelized Very High Radix Scalable Montgomery Multipliers

Parallelized Very High Radix Scalable Montgomery Multipliers Parallelized Very High Radix Scalable Montgomery Multipliers Kyle Kelley and Daid Harris Harey Mudd College 301 E. Telfth St. Claremont, CA 91711 {Kyle_Kelley, Daid_Harris}@hmc.edu Abstract This paper

More information

problem maximum score 1 10pts 2 8pts 3 10pts 4 12pts 5 7pts 6 7pts 7 7pts 8 17pts 9 22pts total 100pts

problem maximum score 1 10pts 2 8pts 3 10pts 4 12pts 5 7pts 6 7pts 7 7pts 8 17pts 9 22pts total 100pts University of California at Berkeley College of Engineering epartment of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2003 2/21/03 Exam I Solutions Name: I number: This is a

More information

ECEN 468 Advanced Logic Design

ECEN 468 Advanced Logic Design ECEN 468 Advanced Logic Design Lecture 28: Synthesis of Language Constructs Synthesis of Nets v An explicitly declared net may be eliminated in synthesis v Primary input and output (ports) are always retained

More information

15.4 Constrained Maxima and Minima

15.4 Constrained Maxima and Minima 15.4 Constrained Maxima and Minima Question 1: Ho do ou find the relative extrema of a surface hen the values of the variables are constrained? Question : Ho do ou model an optimization problem ith several

More information

Verilog Nonblocking Assignments with Delays - Myths & Mysteries

Verilog Nonblocking Assignments with Delays - Myths & Mysteries Verilog Nonblocking Assignments with Delays - Myths & Mysteries Clifford E. Cummings, Inc. cliffc@sunburst-design.com www.sunburst-design.com 2 of 67 Agenda IEEE 1364 reference model & event queue Review

More information

SINCE the introduction of the RSA algorithm [1] in

SINCE the introduction of the RSA algorithm [1] in I TRANSACTIONS ON COMPUTRS, VOL. N, NO. N, MM X Ne Hardare Architectures for Montgomery Modular Multiplication Algorithm Miaoqing Huang, Member, Kris Gaj, Tarek l-ghazai, Senior Member Abstract Montgomery

More information

In this project, you'll learn how to enter data using flash fill using the Flash Fill Options button and automatic recognition.

In this project, you'll learn how to enter data using flash fill using the Flash Fill Options button and automatic recognition. Workshops Introduction The Workshops are all about being creative and thinking outside of the box. These orkshops ill help your right-brain soar, hile making your left-brain happy; by explaining hy things

More information

Presentation for use with the textbook Algorithm Design and Applications, by M. T. Goodrich and R. Tamassia, Wiley, 2015

Presentation for use with the textbook Algorithm Design and Applications, by M. T. Goodrich and R. Tamassia, Wiley, 2015 Presentation for use ith the textbook Algorithm Design and Applications, by M. T. Goodrich and R. Tamassia, Wiley, 0 Ch.03 Binary Search Trees Binary Search Binary search can perform nearest neighbor queries

More information

Timing and Verification

Timing and Verification Timing and Verification Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu (Guest starring: Frank K. Gürkaynak and Aanjhan Ranganathan) http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

ECE331: Hardware Organization and Design

ECE331: Hardware Organization and Design ECE331: Hardware Organization and Design Lecture 19: Verilog and Processor Performance Adapted from Computer Organization and Design, Patterson & Hennessy, UCB Verilog Basics Hardware description language

More information

User Manual CS-1732 CS

User Manual CS-1732 CS User Manual CS-1732 CS-1734 NOTE: This equipment has been tested and found to comply ith the limits for a Class B digital device pursuant to Subpart J of Part 15 of the FCC Rules. These limits are designed

More information

Computer Architecture EE 4720 Midterm Examination

Computer Architecture EE 4720 Midterm Examination Name Solution Computer Architecture EE 4720 Midterm Examination 22 March 2000, 13:40 14:30 CST Alias Problem 1 Problem 2 Problem 3 Exam Total (35 pts) (20 pts) (45 pts) (100 pts) Good Luck! Problem 1:

More information

Chap 6 Introduction to HDL (d)

Chap 6 Introduction to HDL (d) Design with Verilog Chap 6 Introduction to HDL (d) Credit to: MD Rizal Othman Faculty of Electrical & Electronics Engineering Universiti Malaysia Pahang Ext: 6036 VERILOG HDL Basic Unit A module Module

More information

Department of Electrical and Computer Engineering The University of Texas at Austin

Department of Electrical and Computer Engineering The University of Texas at Austin Department of Electrical and Computer Engineering The University of Texas at Austin EE 460N Fall 2018 Y. N. Patt, Instructor Chirag Sakhuja, John MacKay, Aniket Deshmukh, Mohammad Behnia, TAs Final Exam

More information

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines ECE 2300 Digital Logic & Computer Organization Spring 2018 More Verilog Finite Machines Lecture 8: 1 Prelim 1, Thursday 3/1, 1:25pm, 75 mins Arrive early by 1:20pm Review sessions Announcements Monday

More information

Verilog Behavioral Modeling

Verilog Behavioral Modeling Verilog Behavioral Modeling Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Spring, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Source:

More information

Priority Queue ADT ( 7.1) Heaps and Priority Queues 2. Comparator ADT ( 7.1.4) Total Order Relation. Using Comparators in C++

Priority Queue ADT ( 7.1) Heaps and Priority Queues 2. Comparator ADT ( 7.1.4) Total Order Relation. Using Comparators in C++ Heaps and Priority Queues Priority Queue ADT (.) A priority queue stores a collection of items An item is a pair (key, element) Main methods of the Priority Queue ADT insertitem(k, o) inserts an item ith

More information

EECS 470 Lab 6. SystemVerilog. Department of Electrical Engineering and Computer Science College of Engineering. (University of Michigan)

EECS 470 Lab 6. SystemVerilog. Department of Electrical Engineering and Computer Science College of Engineering. (University of Michigan) EECS 470 Lab 6 SystemVerilog Department of Electrical Engineering and Computer Science College of Engineering University of Michigan Thursday, October. 18 th, 2018 Thursday, October. 18 th, 2018 1 / Overview

More information

Computer Organization EE 3755 Final Examination

Computer Organization EE 3755 Final Examination Name Computer Organization EE 3755 Final Examination Tuesday, 4 December 2012, 7:30 9:30 CST Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Problem 7 Exam Total (15 pts) (15 pts) (20

More information

REDUCED COMPLEXITY SINGLE AND MULTIPLE CONSTANT MULTIPLICATION IN FLOATING POINT PRECISION. Martin Kumm, Katharina Liebisch, Peter Zipf

REDUCED COMPLEXITY SINGLE AND MULTIPLE CONSTANT MULTIPLICATION IN FLOATING POINT PRECISION. Martin Kumm, Katharina Liebisch, Peter Zipf REDUCED COMPLEXITY SINGLE AND MULTIPLE CONSTANT MULTIPLICATION IN FLOATING POINT PRECISION Martin Kumm, Katharina Liebisch, Peter Zipf Digital Technology Group University of Kassel, Germany Email: kumm@uni-kassel.de,

More information

Computer Architecture EE 4720 Final Examination

Computer Architecture EE 4720 Final Examination Name Computer Architecture EE 4720 Final Examination 10 May 2014, 10:00 12:00 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Problem 7 Exam Total (15 pts) (15 pts) (15 pts) (15 pts)

More information

ECE 353 Lab 3 (Verilog Design Approach)

ECE 353 Lab 3 (Verilog Design Approach) ECE 353 Lab 3 (Verilog Design Approach) Prof Daniel Holcomb Recall What You Will Do Design and implement a serial MIDI receiver Hardware in an Altera Complex Programmable Logic Device (CPLD) MAX 7000S

More information

Chapter 1. Turtle Graphics. 1.1 Turtle Graphics. The turtle and the crane and the swallow observe the time of their coming Jeremiah 8:7

Chapter 1. Turtle Graphics. 1.1 Turtle Graphics. The turtle and the crane and the swallow observe the time of their coming Jeremiah 8:7 Goldman/An Integrated Introduction to Computer Graphics and Geometric Modeling K10188_C001 Revise Proof page 3 26.3.2009 7:54am Compositor Name: VAmoudavally Chapter 1 Turtle Graphics The turtle and the

More information

Solving Problems Using Quadratic Models. LEARN ABOUT the Math. concert, where n is the number of tickets sold.

Solving Problems Using Quadratic Models. LEARN ABOUT the Math. concert, where n is the number of tickets sold. 6.6 Solving Problems Using Quadratic Models YOU WILL NEED grid paper ruler graphing calculator GOAL Solve problems that can be modelled by quadratic relations using a variety of tools and strategies. LEARN

More information

Verilog Coding Guideline

Verilog Coding Guideline Verilog Coding Guideline Digital Circuit Lab TA: Po-Chen Wu Outline Introduction to Verilog HDL Verilog Syntax Combinational and Sequential Logics Module Hierarchy Write Your Design Finite State Machine

More information

!== vs.!= and === vs. ==

!== vs.!= and === vs. == !== vs.!= and === vs. == In SystemVerilog, logic is a 4-state signal type with values 0, 1, X, Z. If a signal is never assigned to, ModelSim will assume that has an xxx xxx value. This means if you do

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Using Approximation Methods to Implement Quota Mechanism in Peer-to-Peer System

Using Approximation Methods to Implement Quota Mechanism in Peer-to-Peer System Using Approximation Methods to Implement Quota Mechanism in Peer-to-Peer System Huaxia Xia hxia@cs.ucsd.edu Professor: Russell Impagliazzo Project for CSE 208A e Directions in Algorithms, Spring 2003 Abstraction:

More information

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016 ECE 353 Lab 4 Verilog Review Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016 Recall What You Will Do Design and implement a serial MIDI receiver Hardware in

More information

RES 3000 Version 3.0 CA/PMS Installation and Setup Instructions

RES 3000 Version 3.0 CA/PMS Installation and Setup Instructions RES 3000 Version 3.0 CA/PMS Installation and Setup Instructions $ERXW7KLV'RFXPHQW This document provides installation and setup instructions for the CA/ PMS credit card driver. The type of CA/EDC Driver

More information

FSM and Efficient Synthesizable FSM Design using Verilog

FSM and Efficient Synthesizable FSM Design using Verilog FSM and Efficient Synthesizable FSM Design using Verilog Introduction There are many ways to code FSMs including many very poor ways to code FSMs. This lecture offers guidelines for doing efficient coding,

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

Computer Architecture EE 4720 Midterm Examination

Computer Architecture EE 4720 Midterm Examination Name Solution Computer Architecture EE 4720 Midterm Examination Friday, 20 March 2015, 9:30 10:20 CT Alias The Implementation Game Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Exam Total

More information

Hardware Description Languages (HDLs) Verilog

Hardware Description Languages (HDLs) Verilog Hardware Description Languages (HDLs) Verilog Material from Mano & Ciletti book By Kurtulus KULLU Ankara University What are HDLs? A Hardware Description Language resembles a programming language specifically

More information

CS6710 Tool Suite. Verilog is the Key Tool

CS6710 Tool Suite. Verilog is the Key Tool CS6710 Tool Suite Verilog-XL Behavioral Verilog Your Library Cadence SOC Encounter Synopsys Synthesis Structural Verilog Circuit Layout CSI Verilog-XL AutoRouter Cadence Virtuoso Layout LVS Layout-XL Cadence

More information

CS146 Computer Architecture. Fall Midterm Exam

CS146 Computer Architecture. Fall Midterm Exam CS146 Computer Architecture Fall 2002 Midterm Exam This exam is worth a total of 100 points. Note the point breakdown below and budget your time wisely. To maximize partial credit, show your work and state

More information

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University Digital Circuit Design and Language Datapath Design Chang, Ik Joon Kyunghee University Typical Synchronous Design + Control Section : Finite State Machine + Data Section: Adder, Multiplier, Shift Register

More information

Real-Time Multiprocessor Locks with Nesting: Optimizing the Common Case

Real-Time Multiprocessor Locks with Nesting: Optimizing the Common Case Real-Time Multiprocessor Locks ith Nesting: Optimizing the Common Case Catherine E. Nemitz, Tanya Amert, and James H. Anderson Department of Computer Science, The University of North Carolina at Chapel

More information

Neural networks in the re-engineering process based on construction drawings

Neural networks in the re-engineering process based on construction drawings Neural netorks in the re-engineering process based on construction draings S. Komoroski HOCHTIEF Construction AG, Bauen im Βestand NRW, Essen, Germany V. Berkhahn Institute of Computer Science in Civil

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

Writing Circuit Descriptions 8

Writing Circuit Descriptions 8 8 Writing Circuit Descriptions 8 You can write many logically equivalent descriptions in Verilog to describe a circuit design. However, some descriptions are more efficient than others in terms of the

More information

Verilog for Synthesis Ing. Pullini Antonio

Verilog for Synthesis Ing. Pullini Antonio Verilog for Synthesis Ing. Pullini Antonio antonio.pullini@epfl.ch Outline Introduction to Verilog HDL Describing combinational logic Inference of basic combinational blocks Describing sequential circuits

More information

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008 CSE 140L Final Exam Prof. Tajana Simunic Rosing Spring 2008 NAME: ID#: Do not start the exam until you are told to. Turn off any cell phones or pagers. Write your name and PID at the top of every page.

More information

Combinational Circuit Design

Combinational Circuit Design Modeling Combinational Circuits with Verilog Prof. Chien-Nan Liu TEL: 3-42275 ext:34534 Email: jimmy@ee.ncu.edu.tw 3- Combinational Circuit Design Outputs are functions of inputs inputs Combinational Circuit

More information

MICROCOLOUR MRD CONTROLLER

MICROCOLOUR MRD CONTROLLER User Instructions for MICROCOLOUR MRD CONTROLLER Unit C O N T E N T S Page 2 Description 3 MULTIFUNCTION DIGITAL TIMER - MODES 4 FEATURES 5 -UP INSTRUCTION 6 EXPOSURE TIMER 8 SHUTTER SYNCHRONISATION -

More information