Basic Concepts. Lexical Conventions

Size: px
Start display at page:

Download "Basic Concepts. Lexical Conventions"

Transcription

1 Basic Cncepts Lexica Cnventins basic exica cnventins are simiar t C prgramming anguage tkens: cmment, deimiter, number, string, identifier, keywrd case-sensitive anguage keywrds are in wercase Basic Lexica 1. white space 2. cmment 3. peratr 4. number specificatin 5. string 6. identifiers 7. keywrd 8. escaped identifier

2 Lexica Cnventins bank spaces (\b) tabs (\t) newines (\n) white space pace anywhere [ignre] separate tken [nt ignre] pace in string [nt ignre] (bank space) mdue rippe_carry_cunter(q, ck, reset); utput [3:0] q; input ck, rst; (tab) T_FF tff0(q[0],ck, rst); T_FF tff1(q[1],q[0], rst); (new ine) T_FF tff2(q[2],q[1], rst); T_FF tff3(q[3],q[2], rst); mdue Lexica Cnventins Cmment ne-ine mutipe-ine Operatr type unary binary ternary // /* */ #. perand a = b && c; // This is a ne-ine cmment /* This is a mutipe ine cmment */ /* This is /* an iega */ cmment */ /* This is //a ega cmment */ exampe a = ~b; a = b && c; a = b? c : d;

3 Lexica Cnventins Number type bit width exampe sized imited 5 d23 un-sized un-imited binary <size> '<base frmat> <number>. Binary ('b r 'B) Decima ('d r 'D), Octa (' r 'O). Hexade ('h r 'H), 4'b1111 // This is a 4-bit binary number 12'habc // This is a 12-bit hexadecima number 16'd255 // This is a 16-bit decima number // This is a 32-bit decima number by defaut 'hc3 // This is a 32-bit hexadecima number '21 // This is a 32-bit cta number Lexica Cnventins Number System Binary Octa Decima Hexadecima aw vaue 0, 1 (ne-bit) 0, 1, 2, 3, 4, 5, 6, 7 (three-bit) 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, a, b, c, d, e, f (fur-bit) unknwn x high impedance z 12 h13x 6 hx 32 hz 10 dc 0001_0011_xxxx xx_xxxx zzzz_zzzz_..._zzzz un-avaiabe 5 d2 6 hf 32 h7?_??10 0_0010??_ _1111????_????_..._ _0000_..._0111

4 Lexica Cnventins negative numbers -8'd3 1111_1101 (2 s) 4'd-2 Iega underscre 12'b 'b1111_0000_ bit fr 2 s f inverse pus readabiity questin marks 4'b10?? = 4'b10zz String Lexica Cnventins A string is a sequence f characters that are encsed by dube qutes. "He Verig Wrd" "a / b" String must be cntained n a singe ine Strings are treated as a sequence f ne-byte ASCII vaues One-byte = Eight-bit "He Verig Wrd" "a / b" 19 bytes 5 bytes

5 Lexica Cnventins Keywrd The specia identifier reserved t define the anguage cnstructs. Keywrds are in wercase the name f bjects aphanumeric characters, the underscre ( _ ), r the dar sign ( $ ) Identifiers are case sensitive wx123 _kkx5x 22x87y $xxxxx ega naming stye iega naming stye Reserve fr system task start with aphanumeric start with under scre start with number $finish, $mnitr Vaue Leve 0 1 x z Cnditin in Hardware Circuits Lgic zer, fase cnditin Lgic ne, true cnditin Unknwn gic vaue High impedance, fating state 1 x z 0 0 (enabe)

6 Net It represent cnnectins between hardware eements b c a which is cntinuusy driven n wire a; // decare net a wire b, c; // decare tw wires b, c wire d = 1'b0; // net d is fixed t gic vaue 0 A rea wire in hardware defaut vaue is z Register data strage eements defaut vaue is x retain vaue unti anther vaue is paced nt them means a variabe that can hd a vaue reg reset; initia begin reset = 1'b1; #100 reset = 1'b0; reset time reg signed [63:0] m; // 64 bit signed vaue integer i; // 32 bit signed vaue can be used fr signed arithmetic

7 Vectr mutipe bit width wire a; // 1-bit wire [7:0] bus; // 8-bit wire [31:0] busa, busb, busc; // 32-bit reg cck; reg [0:40] virtua_addr; // 41-bit d27 binary b11011 ( ) [high#:w#] r [w#:high#] MSB: mst significant bit MSB is bus[7] MSB is virtua_addr[0] busa busa[0] = 1 virtua_addr virtua_addr[0] = 0 Vectr partia seect busa[7] seect bit #7 f vectr busa busb[8:4] seect 5 bit frm #8 t #4 f busb busc[2:10] it is iega because f busc is [high# : w#] virtua_addr[0:1] tw MSB f virtua_addr reg type integer - the keywrd is integer - decare fr cunting purpse - defaut 32 bit - signed quantities (reg is unsigned quantities) rea (e.g. 3.14) (e.g. 3e6) - be specified in decima ntatin r scientific ntatin - n range decaratin, defaut vaue is 0 time -stre simuatin time - the width at east 64 bits - $time is invked t get the current simuatin time

8 Array 1. reg, integer, time, rea, vectr register data type 2. muti-dimensina array can as be decared with any number f dimensin 3. array f net can as be used t cnnect prts f generated instances integer cunt [0:7]; // an array f 8 cunt variabes reg b [31:0]; // array f 32 ne-bit b register variabes reg [4:0] prt [0:7]; // array f 8 prt; each prt is 5 bit width integer matrix [4:0][0:255]; // tw dimensina array f integers Nte: Vectr is a singe eement that is n-bit width; Array is mutipe eements that are n-bit width. memry In digita simuatin, ne ften needs t mde register fies, RAM, and ROM. Memry is mdeed in Verig simpy as a nedimensina array f registers. reg mem1bit [0:1023]; // mem1bit with 1K 1-bit wrds reg [7:0] membyte [0:1023]; // membyte with 1K 8-bit wrds membyte[511] // fetches 1 byte wrd whse address is bit 511 mem1bit 1023 membyte 1023

9 parameter define a cnstant in a mdue parameter prt_id = 5; // defines a cnstant prt_id parameter state = 3 b010; // define a fixed size parameter state parameter signed [15:0] WIDTH; // fixed sign and range fr parameter WIDTH caparam mdify by tp eve bck parameter x=3; (db3) (design bck 1) (design bck 2) tp eve bck define a cay parameter fr the mdue It is used t define parameters when their vaues shud nt be changed. Fr exampe, the state encding fr a state machine can be defined using caparam. The state encding cannt be changed. string can be stred in reg Each character in the string takes up 8 bits (1 byte). mdue test_string; reg [8*19:1] string_vaue; initia begin string_vaue = He Verig Wrd ; #10 $dispay( %s, string_vaue); mdue Escaped characters \n \t %% \\ \ \ Character dispayed new ine tab % \ ASCII 41: A 01_000_ : a 01_100_ Character written in 1-3 cta digits string_vaue H e V e r i g W r d string_vaue_ H e V e r i g W r d string_vaue_s V e r i g W r d

10 System Task A system tasks appear in the frm $<keywrd> dispaying n the screen mnitring vaues f nets stpping the simuatin finishing the simuatin System Task Usage $dispay $dispay(p1, p2, p3,..., pn); $mnitr $mnitr(p1, p2, p3,..., pn); $stp $stp; $finish $finish; * pi can be as time, string, reg, net $dispay $mnitr $stp $finish Frmat %d r %D %b r %B %h r %H %t r %T %s r %S %c r %C %m r %M Dispay variabe in decima variabe in binary variabe in hex in current time frmat string ASCII character hierarchica name $dispay System Task $dispay( He Verig Wrd ); $dispay($time); reg [0:40] virtua_addr; $dispay("at time %d virtua address is %h", $time, virtua_addr); $dispay("bus vaue is %b", bus); reg [3:0] bus; bus = 4 b0101; Bus vaue is 0101 bus[3:2] = 2 b10; Bus vaue is 10xx $dispay("this is a \n mutiine string with a %% sign"); new ine This is a mutiine string with a % sign

11 $mnitr initia begin $mnitr($time, " Vaue f signas cck = %b reset = %b", cck, reset); initia ck = 1'b0; aways #5 ck = ~ck; initia begin reset = 1'b1; #15 reset = 1'b0; #180 reset = 1'b1; #10 reset = 1'b0; #20 $finish; System Task $time cck reset 0 Vaue f signas cck = 0 reset = 1 5 Vaue f signas cck = 1 reset = 1 10 Vaue f signas cck = 0 reset = 1 15 Vaue f signas cck = 1 reset = 0 20 Vaue f signas cck = 0 reset = 0 25 Vaue f signas cck = 1 reset = 0 30 Vaue f signas cck = 0 reset = 0 input Design Bck utput System Task $stp $finish stp finish debug start cntinue $stp: t susp the simuatin at a certain time $finish: t terminate the simuatin at a certain time initia begin.. #50 $stp;. #1000 $finish; initia begin... #1000 $finish; initia begin... #1000 $stp;

12 `define `define WORD_SIZE 32 `define S $stp; Cmpier Directive `define WORD_REG reg [31:0] `define A 16 `define B 8 `define C 32 ( #define in C ) mdue KM ( ina, inb, utc); input [`A-1:0] ina; input [`B-1:0] inb; utput [`C-1:0] utc; mdue reg [ `WORD_SIZE-1:0 ] reg32; reg [ 32-1:0 ] reg32; reg [ 31:0 ] reg32; #1000 `S #1000 $stp; 'WORD_REG reg32; reg [31:0] reg32; `incude `define A 16 `define B 8 `define C 32 Cmpier Directive ( #incude in C ) `define A 16 // bit width fr ina `define B 8 // bit width fr inb `define C 32 // bit width fr inc DEF.v mdue KM ( ina, inb, utc); input [`A-1:0] ina; input [`B-1:0] inb; utput [`C-1:0] utc; mdue spit int `timescae: define time scae #20 hw ng? 20s, 20ms, 20ns `incude DEF.v mdue KM ( ina, inb, utc); input [`A-1:0] ina; input [`B-1:0] inb; utput [`C-1:0] utc; mdue

CS1150 Principles of Computer Science Introduction (Part II)

CS1150 Principles of Computer Science Introduction (Part II) Principles f Cmputer Science Intrductin (Part II) Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang UC. Clrad Springs Review Terminlgy Class } Every Java prgram must have at least

More information

CS1150 Principles of Computer Science Midterm Review

CS1150 Principles of Computer Science Midterm Review CS1150 Principles f Cmputer Science Midterm Review Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Office hurs 10/15, Mnday, 12:05 12:50pm 10/17, Wednesday

More information

Intro to Programming & C Why Program? 1.2 Computer Systems: Hardware and Software. Why Learn to Program?

Intro to Programming & C Why Program? 1.2 Computer Systems: Hardware and Software. Why Learn to Program? Intro to Programming & C++ Unit 1 Sections 1.1-3 and 2.1-10, 2.12-13, 2.15-17 CS 1428 Spring 2018 Ji Seaman 1.1 Why Program? Computer programmabe machine designed to foow instructions Program a set of

More information

P-1/74. Samir Palnitkar. Prentice-Hall, Inc. INSTRUCTOR : CHING-LUNG SU.

P-1/74. Samir Palnitkar. Prentice-Hall, Inc. INSTRUCTOR : CHING-LUNG SU. : P-1/74 Textbook: Verilog HDL 2 nd. Edition Samir Palnitkar Prentice-Hall, Inc. : INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter 3 P-2/74 Chapter 3 Basic Concepts Outline of Chapter

More information

l A program is a set of instructions that the l It must be translated l Variable: portion of memory that stores a value char

l A program is a set of instructions that the l It must be translated l Variable: portion of memory that stores a value char Week 1 Operators, Data Types & I/O Gaddis: Chapters 1, 2, 3 CS 5301 Fa 2018 Ji Seaman Programming A program is a set of instructions that the computer foows to perform a task It must be transated from

More information

Intro to Programming & C Why Program? 1.2 Computer Systems: Hardware and Software. Hardware Components Illustrated

Intro to Programming & C Why Program? 1.2 Computer Systems: Hardware and Software. Hardware Components Illustrated Intro to Programming & C++ Unit 1 Sections 1.1-3 and 2.1-10, 2.12-13, 2.15-17 CS 1428 Fa 2017 Ji Seaman 1.1 Why Program? Computer programmabe machine designed to foow instructions Program instructions

More information

Data Structure Interview Questions

Data Structure Interview Questions Data Structure Interview Questins A list f tp frequently asked Data Structure interview questins and answers are given belw. 1) What is Data Structure? Explain. Data structure is a way that specifies hw

More information

CS1150 Principles of Computer Science Boolean, Selection Statements (Part II)

CS1150 Principles of Computer Science Boolean, Selection Statements (Part II) CS1150 Principles f Cmputer Science Blean, Selectin Statements (Part II) Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 Review What s the scientific ntatin f 9,200,000?

More information

CS1150 Principles of Computer Science Boolean, Selection Statements (Part II)

CS1150 Principles of Computer Science Boolean, Selection Statements (Part II) CS1150 Principles f Cmputer Science Blean, Selectin Statements (Part II) Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 Review What s the scientific ntatin f 9,200,000?

More information

1B11 Operating Systems. Introduction

1B11 Operating Systems. Introduction Department f Cmputer Science University Cege Lndn 1B11 Operating Systems Intrductin Prf. Steve R Wibur s.wibur@cs.uc.ac.uk Frces n Cmputer Architecture (Pattersn) Techngy Prgramming Languages Appicatins

More information

Ascii Art Capstone project in C

Ascii Art Capstone project in C Ascii Art Capstne prject in C CSSE 120 Intrductin t Sftware Develpment (Rbtics) Spring 2010-2011 Hw t begin the Ascii Art prject Page 1 Prceed as fllws, in the rder listed. 1. If yu have nt dne s already,

More information

Tutorial 3 Concepts for A1

Tutorial 3 Concepts for A1 CPSC 231 Introduction to Computer Science for Computer Science Majors I Tutoria 3 Concepts for A1 DANNY FISHER dgfisher@ucagary.ca September 23, 2014 Agenda script command more detais Submitting using

More information

Operating systems. Module 15 kernel I/O subsystem. Tami Sorgente 1

Operating systems. Module 15 kernel I/O subsystem. Tami Sorgente 1 Operating systems Mdule 15 kernel I/O subsystem Tami Srgente 1 SWAP SPACE MANAGEMENT Swap space can be defined as a temprary strage lcatin that is used when system s memry requirements exceed the size

More information

CS1150 Principles of Computer Science Final Review

CS1150 Principles of Computer Science Final Review CS1150 Principles f Cmputer Science Final Review Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Numerical Data Types Name Range Strage Size byte 2 7

More information

C++ Reference Material Programming Style Conventions

C++ Reference Material Programming Style Conventions C++ Reference Material Prgramming Style Cnventins What fllws here is a set f reasnably widely used C++ prgramming style cnventins. Whenever yu mve int a new prgramming envirnment, any cnventins yu have

More information

It has hardware. It has application software.

It has hardware. It has application software. Q.1 What is System? Explain with an example A system is an arrangement in which all its unit assemble wrk tgether accrding t a set f rules. It can als be defined as a way f wrking, rganizing r ding ne

More information

ARM Programmer s Model

ARM Programmer s Model ARM Prgrammer s Mdel Hsung-Pin Chang Department f Cmputer Science Natinal Chung Hsing University PDF created with FinePrint pdffactry Pr trial versin www.pdffactry.cm Outline ARM Data Types ARM Prcessr

More information

Mathematical Functions, Characters, and Strings. APSC 160 Chapter 4

Mathematical Functions, Characters, and Strings. APSC 160 Chapter 4 Mathematical Functins, Characters, and Strings APSC 160 Chapter 4 1 Objectives T slve mathematics prblems by using the C++ mathematical functins (4.2) T represent characters using the char type (4.3) T

More information

Querying Data with Transact SQL

Querying Data with Transact SQL Querying Data with Transact SQL Curse Cde: 20761 Certificatin Exam: 70-761 Duratin: 5 Days Certificatin Track: MCSA: SQL 2016 Database Develpment Frmat: Classrm Level: 200 Abut this curse: This curse is

More information

Chapter 4. IP Addresses: Classful Addressing. PDF created with FinePrint pdffactory Pro trial version

Chapter 4. IP Addresses: Classful Addressing. PDF created with FinePrint pdffactory Pro trial version Chapter 4 IP Addresses: Classful Addressing Outlines Intrductin Classfuladdressing Other issues A sample Internet 4.1 INTRODUCTION Intrductin IP address, r Internet Address 32-bit binary address Uniquely

More information

Memory Hierarchy. Goal of a memory hierarchy. Typical numbers. Processor-Memory Performance Gap. Principle of locality. Caches

Memory Hierarchy. Goal of a memory hierarchy. Typical numbers. Processor-Memory Performance Gap. Principle of locality. Caches Memry Hierarchy Gal f a memry hierarchy Memry: hierarchy f cmpnents f varius speeds and capacities Hierarchy driven by cst and perfrmance In early days Primary memry = main memry Secndary memry = disks

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Prf. Mntek Singh Spring 2019 Lab #7: A Basic Datapath; and a Sprite-Based Display Issued Fri 3/1/19; Due Mn 3/25/19

More information

MIPS Architecture and Assembly Language Overview

MIPS Architecture and Assembly Language Overview MIPS Architecture and Assembly Language Overview Adapted frm: http://edge.mcs.dre.g.el.edu/gicl/peple/sevy/architecture/mipsref(spim).html [Register Descriptin] [I/O Descriptin] Data Types and Literals

More information

KNX integration for Project Designer

KNX integration for Project Designer KNX integratin fr Prject Designer Intrductin With this KNX integratin t Prject Designer it is pssible t cntrl KNX devices like n/ff, dimming, blinds, scene cntrl etc. This implementatin is intended fr

More information

Computer Organization and Architecture

Computer Organization and Architecture Campus de Gualtar 4710-057 Braga UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA Departament de Infrmática Cmputer Organizatin and Architecture 5th Editin, 2000 by William Stallings Table f Cntents I. OVERVIEW.

More information

Laboratory Exercise 3 Using the PIC18

Laboratory Exercise 3 Using the PIC18 Labratry Exercise 3 Using the PIC18 Until this pint, the user has prgrammed the FPGA Interface Bard using the FTDI and has nt been intrduced t the n bard PIC18F2550 micrcntrller. The purpse f this experiment

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Yu will learn the fllwing in this lab: The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Designing a mdule with multiple memries Designing and using a bitmap fnt Designing a memry-mapped display Cmp 541 Digital

More information

Directives & Memory Spaces. Dr. Farid Farahmand Updated: 2/18/2019

Directives & Memory Spaces. Dr. Farid Farahmand Updated: 2/18/2019 Directives & Memory Spaces Dr. Farid Farahmand Updated: 2/18/2019 Memory Types Program Memory Data Memory Stack Interna PIC18 Architecture Data Memory I/O Ports 8 wires 31 x 21 Stack Memory Timers 21 wires

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Yu will learn the fllwing in this lab: The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Spring 2016 Lab Prject (PART A): A Full Cmputer! Issued Fri 4/8/16; Suggested

More information

Using SPLAY Tree s for state-full packet classification

Using SPLAY Tree s for state-full packet classification Curse Prject Using SPLAY Tree s fr state-full packet classificatin 1- What is a Splay Tree? These ntes discuss the splay tree, a frm f self-adjusting search tree in which the amrtized time fr an access,

More information

3 AXIS STAGE CONTROLLER

3 AXIS STAGE CONTROLLER CORTEX CONTROLLERS 50, St Stephen s Pl. Cambridge CB3 0JE Telephne +44(0)1223 368000 Fax +44(0)1223 462800 http://www.crtexcntrllers.cm sales@crtexcntrllers.cm 3 AXIS STAGE CONTROLLER Instructin Manual

More information

Lecture 6 -.NET Remoting

Lecture 6 -.NET Remoting Lecture 6 -.NET Remting 1. What is.net Remting?.NET Remting is a RPC technique that facilitates cmmunicatin between different applicatin dmains. It allws cmmunicatin within the same prcess, between varius

More information

Implementation of Authentication Mechanism for a Virtual File System

Implementation of Authentication Mechanism for a Virtual File System Implementatin f Authenticatin Mechanism fr a Virtual File System Prject fr Operating Systems Curse (CS 5204) Implemented by- Vinth Jagannathan Abhishek Ram Under the guidance f Dr Dennis Kafura Abstract

More information

Chap 3. Modeling structure & basic concept of Verilog HDL

Chap 3. Modeling structure & basic concept of Verilog HDL Chap 3. Modeling structure & basic concept of Verilog HDL Fall semester, 2016 Prof. Jaeseok Kim School of Electrical & Electronics Eng. Yonsei university jaekim@yonsei.ac.kr Digital System Design 3-1 Chapter

More information

3.1 The cin Object. Expressions & I/O. Console Input. Example program using cin. Unit 2. Sections 2.14, , 5.1, CS 1428 Spring 2018

3.1 The cin Object. Expressions & I/O. Console Input. Example program using cin. Unit 2. Sections 2.14, , 5.1, CS 1428 Spring 2018 Expressions & I/O Unit 2 Sections 2.14, 3.1-10, 5.1, 5.11 CS 1428 Spring 2018 Ji Seaman 1 3.1 The cin Object cin: short for consoe input a stream object: represents the contents of the screen that are

More information

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2012 Lab 1 - Calculatr Intrductin In this lab yu will be writing yur first

More information

Lab 4. Name: Checked: Objectives:

Lab 4. Name: Checked: Objectives: Lab 4 Name: Checked: Objectives: Learn hw t test cde snippets interactively. Learn abut the Java API Practice using Randm, Math, and String methds and assrted ther methds frm the Java API Part A. Use jgrasp

More information

Using CppSim to Generate Neural Network Modules in Simulink using the simulink_neural_net_gen command

Using CppSim to Generate Neural Network Modules in Simulink using the simulink_neural_net_gen command Using CppSim t Generate Neural Netwrk Mdules in Simulink using the simulink_neural_net_gen cmmand Michael H. Perrtt http://www.cppsim.cm June 24, 2008 Cpyright 2008 by Michael H. Perrtt All rights reserved.

More information

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 Iterative Code Design handout Style Guidelines handout

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 Iterative Code Design handout Style Guidelines handout UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2013 Lab 1 - Calculatr Intrductin Reading Cncepts In this lab yu will be

More information

Straight-line code (or IPO: Input-Process-Output) If/else & switch. Relational Expressions. Decisions. Sections 4.1-6, , 4.

Straight-line code (or IPO: Input-Process-Output) If/else & switch. Relational Expressions. Decisions. Sections 4.1-6, , 4. If/ese & switch Unit 3 Sections 4.1-6, 4.8-12, 4.14-15 CS 1428 Spring 2018 Ji Seaman Straight-ine code (or IPO: Input-Process-Output) So far a of our programs have foowed this basic format: Input some

More information

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2014 1 Introduction to Verilog

More information

Whitepaper. Migrating External Specs to AutoCAD Plant 3D. Set Up the Required Folder Structure. Migrating External Specs to AutoCAD Plant 3D

Whitepaper. Migrating External Specs to AutoCAD Plant 3D. Set Up the Required Folder Structure. Migrating External Specs to AutoCAD Plant 3D Whitepaper The wrkflw fr migrating specs frm 3 rd -party sftware packages t AutCAD Plant 3D is as fllws: Set Up the Required Flder Structure Build CSV Files Cntaining Part Infrmatin Map External Parts

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Prf. Mntek Singh Fall 2016 Lab #8: A Basic Datapath and Cntrl Unit Issued Wed 10/12/16; Due Wed 10/26/16 (11:59pm)

More information

161 Forbes Road Braintree MA Phone: (781) Fax: (781) What's in it? Key Survey & Extreme Form

161 Forbes Road Braintree MA Phone: (781) Fax: (781) What's in it? Key Survey & Extreme Form 161 Frbes Rad Braintree MA 02184 Phne: (781) 849 8118 Fax: (781) 849 8133 WWW.WORLDAPP.COM 8.0 What's in it? Key Survey & Extreme Frm CONTENTS Cntact Manager... 3 Participant Prtal... 3 Reprting Imprvements...

More information

ALU Design. ENG2410 Digital Design Datapath Design. Parts of CPU. Memory and I/O. Resources. Week #9 Topics. School of Engineering 1

ALU Design. ENG2410 Digital Design Datapath Design. Parts of CPU. Memory and I/O. Resources. Week #9 Topics. School of Engineering 1 ENG2410 Digital Design Datapath Design Datapath cnsists f: Parts f CPU Registers, Multiplexrs, Adders, Subtractrs and lgic t perfrm peratins n data (Cmb Lgic) Cntrl unit Generates signals t cntrl data-path

More information

Chief Reader Report on Student Responses:

Chief Reader Report on Student Responses: Chief Reader Reprt n Student Respnses: 2018 AP Cmputer Science A Free-Respnse Questins Number f Students Scred 65,133 Number f Readers 317 Scre Distributin Exam Scre N %At 5 16,105 24.7 4 13,802 21.2 3

More information

file://j:\macmillancomputerpublishing\chapters\in073.html 3/22/01

file://j:\macmillancomputerpublishing\chapters\in073.html 3/22/01 Page 1 of 15 Chapter 9 Chapter 9: Deveoping the Logica Data Mode The information requirements and business rues provide the information to produce the entities, attributes, and reationships in ogica mode.

More information

Preparation: Follow the instructions on the course website to install Java JDK and jgrasp on your laptop.

Preparation: Follow the instructions on the course website to install Java JDK and jgrasp on your laptop. Lab 1 Name: Checked: (instructr r TA initials) Objectives: Learn abut jgrasp - the prgramming envirnment that we will be using (IDE) Cmpile and run a Java prgram Understand the relatinship between a Java

More information

Project #1 - Fraction Calculator

Project #1 - Fraction Calculator AP Cmputer Science Liberty High Schl Prject #1 - Fractin Calculatr Students will implement a basic calculatr that handles fractins. 1. Required Behavir and Grading Scheme (100 pints ttal) Criteria Pints

More information

Advanced computer ArithmeticEE 486 Feb 4, 2003Winter 02-03

Advanced computer ArithmeticEE 486 Feb 4, 2003Winter 02-03 Advanced cmputer ArithmeticEE 486 Feb 4, 2003Winter 02-03 Multiply EE 486 lecture 9: Multiply M. J. Flynn Generating the partial prducts (pp s) Bth encding Direct sub multipliers Reducing (r assimilating)

More information

1 Version Spaces. CS 478 Homework 1 SOLUTION

1 Version Spaces. CS 478 Homework 1 SOLUTION CS 478 Hmewrk SOLUTION This is a pssible slutin t the hmewrk, althugh there may be ther crrect respnses t sme f the questins. The questins are repeated in this fnt, while answers are in a mnspaced fnt.

More information

Topic 7: Transformations. General Transformations. Affine Transformations. Introduce standard transformations

Topic 7: Transformations. General Transformations. Affine Transformations. Introduce standard transformations Tpic 7: Transfrmatins CITS33 Graphics & Animatin E. Angel and D. Shreiner: Interactive Cmputer Graphics 6E Addisn-Wesle 22 Objectives Intrduce standard transfrmatins Rtatin Translatin Scaling Shear Derive

More information

Importing data. Import file format

Importing data. Import file format Imprting data The purpse f this guide is t walk yu thrugh all f the steps required t imprt data int CharityMaster. The system allws nly the imprtatin f demgraphic date e.g. names, addresses, phne numbers,

More information

Introduction. ENG2410 Digital Design Memory Systems. Resources. A Digital Computer System. Week #11 Topics. School of Engineering 1.

Introduction. ENG2410 Digital Design Memory Systems. Resources. A Digital Computer System. Week #11 Topics. School of Engineering 1. ENG2410 Digital Design Memry Systems A Digital Cmputer System CPU Cntrl unit Memry Datapath Data/Instructins/cde clck Fall 2017 S Areibi Schl f Engineering University f Guelph Inputs: Keybard, muse, mdem,

More information

$ARCSIGHT_HOME/current/user/agent/map. The files are named in sequential order such as:

$ARCSIGHT_HOME/current/user/agent/map. The files are named in sequential order such as: Lcatin f the map.x.prperties files $ARCSIGHT_HOME/current/user/agent/map File naming cnventin The files are named in sequential rder such as: Sme examples: 1. map.1.prperties 2. map.2.prperties 3. map.3.prperties

More information

Structure Query Language (SQL)

Structure Query Language (SQL) Structure Query Language (SQL) 1. Intrductin SQL 2. Data Definitin Language (DDL) 3. Data Manipulatin Language ( DML) 4. Data Cntrl Language (DCL) 1 Structured Query Language(SQL) 6.1 Intrductin Structured

More information

HP Prime Exam Modes. HP Prime Graphing Calculator

HP Prime Exam Modes. HP Prime Graphing Calculator HP Prime Graphing Calculatr HP Prime Exam Mdes Classrm cntrl during exams is critical t ensuring students are using nly permitted tls t cmplete evaluatins. HP Prime prvides that cntrl. Learn mre abut HP

More information

ECAT-1000 Version Info

ECAT-1000 Version Info ECAT-1000 Versin Inf 11.26.2018 V5.700 Metasys release Fixed issue in Metasys N2 slave driver where COS ntificatins stp being sent t the master. Fixed delays in Metasys N2 COS reprting n high traffic netwrks.

More information

Dear Milestone Customer,

Dear Milestone Customer, Dear Milestne Custmer, With the purchase f Milestne Xprtect Transact yu have chsen a very flexible ptin t yur Milestne Xprtect Business slutin. Milestne Xprtect Transact enables yu t stre a serial data

More information

History of Java. VM (Java Virtual Machine) What is JVM. What it does. 1. Brief history of Java 2. Java Version History

History of Java. VM (Java Virtual Machine) What is JVM. What it does. 1. Brief history of Java 2. Java Version History Histry f Java 1. Brief histry f Java 2. Java Versin Histry The histry f Java is very interesting. Java was riginally designed fr interactive televisin, but it was t advanced technlgy fr the digital cable

More information

Smart Arm Installation and Setup Guide

Smart Arm Installation and Setup Guide Smart Arm Installatin and Setup Guide PS1E, PS2E and PS150E Objective: The guideline is intended t detail the installatin and setup instructins fr the Smart Mtin Smart Arms systems, including the PS1E,

More information

Laboratory #13: Trigger

Laboratory #13: Trigger Schl f Infrmatin and Cmputer Technlgy Sirindhrn Internatinal Institute f Technlgy Thammasat University ITS351 Database Prgramming Labratry Labratry #13: Trigger Objective: - T learn build in trigger in

More information

Chapter-10 INHERITANCE

Chapter-10 INHERITANCE Chapter-10 INHERITANCE Intrductin: Inheritance is anther imprtant aspect f bject riented prgramming. C++ allws the user t create a new class (derived class) frm an existing class (base class). Inheritance:

More information

Eastern Mediterranean University School of Computing and Technology Information Technology Lecture2 Functions

Eastern Mediterranean University School of Computing and Technology Information Technology Lecture2 Functions Eastern Mediterranean University Schl f Cmputing and Technlgy Infrmatin Technlgy Lecture2 Functins User Defined Functins Why d we need functins? T make yur prgram readable and rganized T reduce repeated

More information

RICOH IMAGING COMPANY, LTD. wishes to announce the release of Firmware Update Software Version

RICOH IMAGING COMPANY, LTD. wishes to announce the release of Firmware Update Software Version Dwnlads : Firmware Update Thank yu fr using a PENTAX K-S1 digital camera. RICOH IMAGING COMPANY, LTD. wishes t annunce the release f Firmware Update Sftware Versin 1.20 fr PENTAX K-S1. Firmware in this

More information

ISTE-608 Test Out Written Exam and Practical Exam Study Guide

ISTE-608 Test Out Written Exam and Practical Exam Study Guide PAGE 1 OF 9 ISTE-608 Test Out Written Exam and Practical Exam Study Guide Written Exam: The written exam will be in the frmat f multiple chice, true/false, matching, shrt answer, and applied questins (ex.

More information

Quick Installation Guide

Quick Installation Guide Oracle Strategic Operatinal Planning Release 3.5.1 Quick Installatin Guide Quick Installatin Guide This file cntains the fllwing sectins: Purpse... 1 System Requirements... 1 Server Cnfiguratin... 1 Client

More information

CS1150 Principles of Computer Science Loops

CS1150 Principles of Computer Science Loops CS1150 Principles f Cmputer Science Lps Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Annuncement HW1 graded HW2 due tnight HW3 will be psted sn Due

More information

Radio reading unit RFU 40. Data reading application RADIO READER

Radio reading unit RFU 40. Data reading application RADIO READER Radi reading unit RFU 40 Data reading applicatin RADIO READER Table f cntent 1. Radi reading unit RFU 40... 3 1.1. Meaning f LED symbls n the radi reading units RFU 40:... 3 1.2. Technical specificatin...

More information

LANGUAGE CONSTRUCTS AND CONVENTIONS IN VERILOG

LANGUAGE CONSTRUCTS AND CONVENTIONS IN VERILOG LANGUAGE CONSTRUCTS AND CONVENTIONS IN VERILOG Dr.K.Sivasankaran Associate Professor, VLSI Division School of Electronics Engineering, VIT University Outline White Space Operators Comments Identifiers

More information

Telecommunication Protocols Laboratory Course

Telecommunication Protocols Laboratory Course Telecmmunicatin Prtcls Labratry Curse Lecture 2 March 11, 2004 http://www.ab.fi/~lpetre/teleprt/teleprt.html 1 Last time We examined sme key terms: prtcl, service, layer, netwrk architecture We examined

More information

Relius Documents ASP Checklist Entry

Relius Documents ASP Checklist Entry Relius Dcuments ASP Checklist Entry Overview Checklist Entry is the main data entry interface fr the Relius Dcuments ASP system. The data that is cllected within this prgram is used primarily t build dcuments,

More information

Chapter 6: Lgic Based Testing LOGIC BASED TESTING: This unit gives an indepth verview f lgic based testing and its implementatin. At the end f this unit, the student will be able t: Understand the cncept

More information

Popline is freely available on the Internet. To access Popline, go to

Popline is freely available on the Internet. To access Popline, go to Ppline Ppline is a bibligraphic database that indexes publicatins in the areas f family planning, reprductive health and develpment. Ppline links thrugh t the full text f any dcuments that are freely available

More information

Reading and writing data in files

Reading and writing data in files Reading and writing data in files It is ften very useful t stre data in a file n disk fr later reference. But hw des ne put it there, and hw des ne read it back? Each prgramming language has its wn peculiar

More information

MySqlWorkbench Tutorial: Creating Related Database Tables

MySqlWorkbench Tutorial: Creating Related Database Tables MySqlWrkbench Tutrial: Creating Related Database Tables (Primary Keys, Freign Keys, Jining Data) Cntents 1. Overview 2 2. Befre Yu Start 2 3. Review Database Terms and Cncepts 2 4. Cnnect t MySql using

More information

IAB MRAID 2 TEST AD: RESIZE WITH ERRORS AD. Resize with Errors Ad. URL for this Creative. Goal of Ad. This Creative Tests:

IAB MRAID 2 TEST AD: RESIZE WITH ERRORS AD. Resize with Errors Ad. URL for this Creative. Goal of Ad. This Creative Tests: Resize with Errrs Ad URL fr this Creative http://mraid.iab.net/cmpliance/units/resize-err.txt Gal f Ad This ad will test that the cntainer triggers apprpriate errr handling when resize-related methds and

More information

Lab 5 Sorting with Linked Lists

Lab 5 Sorting with Linked Lists UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C WINTER 2013 Lab 5 Srting with Linked Lists Intrductin Reading This lab intrduces

More information

AP Computer Science A

AP Computer Science A 2018 AP Cmputer Science A Sample Student Respnses and Scring Cmmentary Inside: Free Respnse Questin 3 RR Scring Guideline RR Student Samples RR Scring Cmmentary 2018 The Cllege Bard. Cllege Bard, Advanced

More information

Compliance Guardian 4. User Guide

Compliance Guardian 4. User Guide Cmpliance Guardian 4 User Guide Issued September 2015 Table f Cntents What's New in this Guide... 3 Abut Cmpliance Guardian... 4 Cmplementary Prducts... 5 Submitting Dcumentatin Feedback t AvePint... 6

More information

Creating Relativity Dynamic Objects

Creating Relativity Dynamic Objects Creating Relativity Dynamic Objects Nvember 28, 2017 - Versin 9.4 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

More information

Using the Swiftpage Connect List Manager

Using the Swiftpage Connect List Manager Quick Start Guide T: Using the Swiftpage Cnnect List Manager The Swiftpage Cnnect List Manager can be used t imprt yur cntacts, mdify cntact infrmatin, create grups ut f thse cntacts, filter yur cntacts

More information

Functions. 6.1 Modular Programming. 6.2 Defining and Calling Functions. Gaddis: 6.1-5,7-10,13,15-16 and 7.7

Functions. 6.1 Modular Programming. 6.2 Defining and Calling Functions. Gaddis: 6.1-5,7-10,13,15-16 and 7.7 Functions Unit 6 Gaddis: 6.1-5,7-10,13,15-16 and 7.7 CS 1428 Spring 2018 Ji Seaman 6.1 Moduar Programming Moduar programming: breaking a program up into smaer, manageabe components (modues) Function: a

More information

Programming Project: Building a Web Server

Programming Project: Building a Web Server Prgramming Prject: Building a Web Server Submissin Instructin: Grup prject Submit yur cde thrugh Bb by Dec. 8, 2014 11:59 PM. Yu need t generate a simple index.html page displaying all yur grup members

More information

CCNA 1 Chapter v5.1 Answers 100%

CCNA 1 Chapter v5.1 Answers 100% CCNA 1 Chapter 6 2016 v5.1 Answers 100% 1. Which characteristic f the netwrk layer in the OSI mdel allws carrying packets fr multiple types f cmmunicatins amng many hsts? the de-encapsulatin f headers

More information

RICOH IMAGING COMPANY, LTD. wishes to announce the release of Firmware Update Software Version

RICOH IMAGING COMPANY, LTD. wishes to announce the release of Firmware Update Software Version Dwnlads : Firmware Update Thank yu fr using GR II digital camera. RICOH IMAGING COMPANY, LTD. wishes t annunce the release f Firmware Update Sftware Versin 3.00 fr GR II. Firmware in this dcument is the

More information

INFocus Health Screenings Report

INFocus Health Screenings Report INFcus Health Screenings Reprt Abut This Reprt This reprt will shw health screenings by schl fr a selected schl(s) and screening(s) between a specified date ranges. Quick Reference Guide STI_0529131310

More information

Objectives. Topic 8: Input, Interaction, & Introduction to callbacks. Input Devices. Project Sketchpad. Introduce the basic input devices

Objectives. Topic 8: Input, Interaction, & Introduction to callbacks. Input Devices. Project Sketchpad. Introduce the basic input devices Tpic 8 Input, Interactin, & Intr. t Callbacks Tpic 8: Input, Interactin, & Intrductin t callbacks Tpic 8 Input, Interactin, & Intr. t Callbacks Objectives Intrduce the basic input devices Physical Devices

More information

COP2800 Homework #3 Assignment Spring 2013

COP2800 Homework #3 Assignment Spring 2013 YOUR NAME: DATE: LAST FOUR DIGITS OF YOUR UF-ID: Please Print Clearly (Blck Letters) YOUR PARTNER S NAME: DATE: LAST FOUR DIGITS OF PARTNER S UF-ID: Please Print Clearly Date Assigned: 15 February 2013

More information

Contents: Module. Objectives. Lesson 1: Lesson 2: appropriately. As benefit of good. with almost any planning. it places on the.

Contents: Module. Objectives. Lesson 1: Lesson 2: appropriately. As benefit of good. with almost any planning. it places on the. 1 f 22 26/09/2016 15:58 Mdule Cnsideratins Cntents: Lessn 1: Lessn 2: Mdule Befre yu start with almst any planning. apprpriately. As benefit f gd T appreciate architecture. it places n the understanding

More information

EView/400i Management Pack for Systems Center Operations Manager (SCOM)

EView/400i Management Pack for Systems Center Operations Manager (SCOM) EView/400i Management Pack fr Systems Center Operatins Manager (SCOM) Cncepts Guide Versin 7.0 July 2015 1 Legal Ntices Warranty EView Technlgy makes n warranty f any kind with regard t this manual, including,

More information

D e s i g n S c r i p t L a n g u a g e S u m m a r y P a g e 1

D e s i g n S c r i p t L a n g u a g e S u m m a r y P a g e 1 D e s i g n S c r i p t L a n g u a g e S u m m a r y P a g e 1 This manual is designed fr tw types f readers. First, thse wh want t make an initial fray int text based prgramming and wh may be currently

More information

Paragon II. Release 4.8

Paragon II. Release 4.8 Paragn II Release 4.8 August 25, 2011 Dcument Revisin Histry Original Dcument Release: August 25, 2011 Revisins: Index Overview... 2 Updated Firmware... 2 Updated User Dcuments... 2 Obtaining Updates...

More information

Aras Innovator 8.1 Document #: Last Modified: 4/4/2007. Copyright 2007 Aras Corporation All Rights Reserved.

Aras Innovator 8.1 Document #: Last Modified: 4/4/2007. Copyright 2007 Aras Corporation All Rights Reserved. Aras Innvatr Service Usage Instructins Aras Innvatr 8.1 Dcument #: 8.1.09202006 Last Mdified: 4/4/2007 Aras Crpratin ARAS CORPORATION Cpyright 2007 All rights reserved Aras Crpratin Heritage Place 439

More information

Lab #1. Topics. 3. Introduction to Verilog 2/8/ Programmable logic. 2. Design Flow. 3. Verilog --- A Hardware Description Language

Lab #1. Topics. 3. Introduction to Verilog 2/8/ Programmable logic. 2. Design Flow. 3. Verilog --- A Hardware Description Language Lab #1 Lecture 8, 9, &10: FPGA Dataflow and Verilog Modeling February 9, 11, 13, 2015 Prof R Iris Bahar Lab #1 is posted on the webpage wwwbrownedu/departments/engineering/courses/engn1640 Note for problem

More information

CS1150 Principles of Computer Science Methods

CS1150 Principles of Computer Science Methods CS1150 Principles f Cmputer Science Methds Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Opening Prblem Find the sum f integers frm 1 t 10, frm 20

More information

Word 2007 The Ribbon, the Mini toolbar, and the Quick Access Toolbar

Word 2007 The Ribbon, the Mini toolbar, and the Quick Access Toolbar Wrd 2007 The Ribbn, the Mini tlbar, and the Quick Access Tlbar In this practice yu'll get the hang f using the new Ribbn, and yu'll als master the use f the helpful cmpanin tls, the Mini tlbar and the

More information

Xerox WorkCentre 7120/7125 Series User Instructions

Xerox WorkCentre 7120/7125 Series User Instructions Xerx WrkCentre 7120/7125 Series User Instructins Hw t Make a Cpy Using the Duplex Autmatic Dcument Feeder (DADF) NOTE: Use the DADF fr multiple r single pages. Use the Dcument Glass fr single cpies r paper

More information

Data Miner Platinum. DataMinerPlatinum allows you to build custom reports with advanced queries. Reports > DataMinerPlatinum

Data Miner Platinum. DataMinerPlatinum allows you to build custom reports with advanced queries. Reports > DataMinerPlatinum Data Miner Platinum DataMinerPlatinum allws yu t build custm reprts with advanced queries. Reprts > DataMinerPlatinum Click Add New Recrd. Mve thrugh the tabs alng the tp t build yur reprt, with the end

More information

Re-Flashing Your CDM-760 Advanced High-Speed Trunking Modem

Re-Flashing Your CDM-760 Advanced High-Speed Trunking Modem Re-Flashing Yur CDM-760 Advanced High-Speed Trunking Mdem I. Intrductin: Firmware Files, Naming, Versins, and Frmats Make sure t perate the CDM-760 with its latest available firmware. Befre attempting

More information