The FPGA: An Engine for Innovation in Silicon and Packaging Technology
|
|
- Joshua Higgins
- 5 years ago
- Views:
Transcription
1 The FPGA: An Engine for Innovation in Silicon and Packaging Technology Liam Madden Corporate Vice President September 2 nd, 2014
2 The Zynq Book Embedded Processing with the ARM Cortex-A9 on the Xilinx Zynq All Programmable SoC Hands-on introduction to Zynq for: Technical/non-technical managers Hardware/software engineers Academics and students Book divided into three main sections 1) High level introduction to Zynq What is it? What can I do with it? How do I use it? 2) Technical overview Embedded system, Zynq, AXI, IP design, HLS, System Design (Vivado) 3) Operating systems for Zynq Background, Linux overview, Linux on Zynq Page 2
3 Free PDF of The Zynq Book From the book s website wwwzynqbookcom Same content as the hardcopy version of the book Also download tutorials and source files from website Open source license for academia Enables book contents to be re- used for non-commercial teaching and research Page 3
4 The Chameleon Chip Page 4
5 Moore s Law
6 Moore s Law 1965: Transistor density doubles every year 64K devices 10x doubling 64 devices 10 years Revised 1975: Transistor density doubles every two years Cramming more components onto integrated circuits Gordon Moore, Electronics, Volume 38, Number 8, April 19th 1965 Page 6
7 A Career in One Graph Minimum Feature Size 10um 1E-05 1um 1E nm 1E X 10nm 1E years 07 30/2 = nm 1E Page 7
8 Exponentials Are Tough to Grasp Ratio Gordon Moore #Transistors/die 64 6B 100M Minimum feature size ~76um 3mils 20nm 1/3800 Wafer diameter Wafer area Portable entertainment (songs) ~ Computer History Museum, Fairchild Semiconductor, IMEC, Norelco, Apple Computer
9 Node Name, Chip Dimensions or Marketing? 90nm Metal Half Pitch Node Name 65nm Metal Pitch Metal Half Pitch Gate Length 40nm 28nm 20nm 16/14nm IEEE Spectrum Nov 2013: The End of the Shrink 9
10 Real World Scaling
11 Driver Moore s Law is About Logic and Memory I/O Logic SerDes Inductor Bond pad ESD DCAP 11
12 AREA SCALING 330Mb/s 38Gb/s 1066Mb/s 66Gb/s 1866Mb/s 125Gb/s 2400Mb/s 32Gb/s Non-Uniform Block Scaling 100% 80% Logic/IO/GT Area Scaling Logic IO GT 60% 40% 20% 0% NODE 12
13 Mix Has Also Changed, Putting More Pressure on Area 100% 80% Logic/IO/GT Area Breakdown GT IO Area Scaling 60% 40% 20% Logic 0% NODE 13
14 AREA SCALING Area/Bandwidth Scaling a Different Story 100% 80% 60% Block Area Scaling (BW Normalised) Logic IO GT 40% 20% 0% NODE(nm) 14
15 What is Driving the Bandwidth Increase?
16 Cable Access Growth Exceeds Moore s Law (Nielsen s Law) Seoul, South Korea Menlo Park, CA 22X/2 years Page 16
17 Similar Story in USB, WLAN and Wireless 25X/2 years Page 17
18 Driven by Streaming Video HD 2-5Mb/s UHD 25Mb/s Page 18
19 How are FPGA s Responding?
20 klc s Maximum Density Of Xilinx FPGA By Node X/Node nm 40nm 28nm 20nm Node (nm) Page 20
21 Maximum Xilinx SerDes Rate (by Pin) X/Node nm 40nm 28nm 20nm Node (nm) Page 21
22 Tb/s Aggregate Xilinx SerDes Bandwidth by Node 10 23X/Node 03 5, nm 40nm Node (nm) 28nm 20nm 22
23 Mb/s High End PC Per Pin DDR Rate by Year X/Node Year 23
24 GB/s Aggregate Xilinx FPGA Memory BW by Node 512,0 HMC 256,0 25X/Node 128,0 16X/Node DDR Ivy Bridge (101) 64,0 Nehalem (51) Sandy Bridge (76) 32, nm 40nm 28nm 20nm Node (nm) 24
25 Normalized Growth Takeaways Xilinx LC counts have exceeded Moore s Law and are keeping up with Communications requirements SerDes aggregate bandwidth is keeping up with Comms growth I/O (in particular DRAM) aggregate bandwidth is falling behind Serial memory (HMC) is mitigating Interposer based memory (HBM) will further mitigate LC Count SerDes BW Comms BW HMC Moore DDR BW Page nm nm 28nm 20nm Node (nm)
26 3-D Stacking, Providing More Silicon Area at Lower Cost and Lower Power
27 Die Cost Cost Comparison: Monolithic vs Multi-Die Moore s Law is really about economics Gordon Moore Monolithic Multi-Die Die Area Page 27
28 Why is First 3D Logic Product an FPGA? Natural partition using long lines Very low opportunity cost No 3 rd party dependence Size matters to customers Compelling value proposition next generation density in this generation technology Page 28
29 Virtex 2000T: Homogeneous Stacked Silicon Interconnect Technology (SSIT) FPGA slice FPGA Slices Side-by-Side Silicon Interposer >10K routing connections between Silicon slices ~1ns latency Interposer Page 29
30 Elements of SSIT TSV C4 FPGA Interposer Microbumps 004mm Access to power / ground / IOs Microbumps Through-silicon Vias (TSV) Only bridge power / ground / IOs to C4 bumps 02mm Passive Silicon Interposer (65nm Generation) 4 conventional metal layers connect micro bumps & TSVs Side-by-Side Package Die Layout Minimal heat flux issues New! 28nm FPGA Slice 28nm FPGA Slice 28nm FPGA Slice 28nm FPGA Slice Microbumps Silicon Interposer Through-Silicon Vias Package Substrate Package Ball 1mm C4 Bumps BGA Balls Page 30
31 SSIT Saves Leakage Power 3X Monolithic Leakage SSIT <50% Process Distribution 31
32 3D Supply Chain FPGA, Interposer, & Package Design 28nm FPGA & Interposer Package Substrate IBIDEN Bump, Die separation CoC/CoWoS, & Assembly Final Test of Packaged Part
33 3-D Heterogeneous Integration, Solving Inter-chip Bandwidth and Power Limitations
34 3 Decades of Microprocessor Integration A Personal History Integrate or be integrated Fred Weber, former CTO AMD Year Company Product Integration Level Core L2$ DP Ctl L1 $ FPU North Bridge GPU 1983 Harris J11 4um 1989 DEC Rigel 15um 1991 DEC Alpha 075um 2005 Microsoft Xenon 3 Core 90nm 2011 AMD Fusion 2 Core 40nm Page 34
35 What Happened to System on a Chip? Logic Memory Analog Global Revenue 2013 $150B $68B $45B Moore Scaling Good Good Poor Technology Vintage 20nm 20nm 180nm? Transistor Characteristics High performance/ Low leakage Low leakage/ moderate performance Stable with good voltage headroom Metallization layers >9 <5 <6 Differentiators High density logic Charge storage Passives, Optical Page 35
36 m m What s the Problem with Multiple Packages? The packaging chasm Two orders difference in package trace/width vs silicon metallization I/O also isn t scaling due to bump pitch and chip to chip loading issues Leads to increased area, power and complexity (SERDES) 100 Pkg via diam Pkg trace width 240 Bump Pitch Si 10 Package Via Diameter Package Trace Width Chip Top Metal ~06 m 1 Chip top metal Signal Power Solder Bump Cu Pillar ~3 m ~100 m PKG To scale in X dimension Page 36
37 Chip Input/Output Bottleneck Source: ITRS 15x drop in I/O-to-logic ratio by 2020 Page 37
38 Crossing the Packaging Chasm Logic Process Memory Process Analog Process up Wide bus Wide bus DRAM Memory Analog FPGA Mem Analog Package Page 38
39 Interposer Optimizes Energy/Bit Interconnects Energy Efficiency (pj/bit) Inter-Die on Si Interposer 1 04 Intra-Package MCM Inter Package (low loss cable) 3 26 Short-Reach SerDes on PCB Xilinx SSI technology 2 233, ISSCC 2013 (Poulton, Dally, et al) ISSCC 2013 ((Mansuri et al) 4 Pawlowski, Hot Chips 23 ISSCC
40 SerDes Integration
41 Virtex-7 HT: Heterogeneous SerDes Top View Cross Section TSVs 28G SerDes Fabric Interface 28G FPGA FPGA FPGA 28G 13G FPGA 13G Passive Interposer 13G FPGA 13G Yield optimized 13G FPGA 13G Noise isolation 28G SerDes 28G process optimized for performance Passive Interposer 28Tb/s ~3X Monolithic FPGA process optimized for power 16 x 28G Transceivers 72 x 13G Transceivers 650 GPIO Page 41
42 7V580T Dual FPGA Slice with 8x28Gb/s SerDes Die Virtex-7 28Gbps Page 42
43 Simulated Eye Diagram and Measured Eye Diagram 28Gb/s Simulation Measurement Measured Amplitude: 923mVp-p Measured Total Jitter: 625 BER 10E-12 Measured Random Jitter: 230 fs (0175UI of total jitter) Page 43
44 SSIT Enables Scalable FPGAs XC7VH580T XC7VH870T GTZ-IC GTZ-IC GTH FPGA GTH GTH FPGA GTH GTH FPGA GTH GTH FPGA GTH GTH FPGA GTH GTZ-IC Network 2 x 100G 1 x 400G or 4 x 100G GTZ (28G) 8 16 GTH (13G) Logic Cells 580K 876K Page 44
45 Heterogeneous ADC/DAC Integration
46 ADC BW Requirements Exploding Multi Channel Array of ADCs FPGAs Ultrasound Transducer FPGA 256 channels 32 x 8 channel 384Gb/s 3D real time for complex 12b x 125Ms/s ADCs raw data imaging beamforming 46
47 Power (mw) ADC I/O Power Not Scaling ADC cores are significantly more power efficient than previous implementations I/O power not scaling MS/s 14 bit (eq) ADC core power (mw) ADC Chip 1000 Core I/O 100 I/O Power 10 47
48 Test Vehicle Integrating ADC/DAC 1156-BGA 35x35mm 2 x FPGA slices DAC 125Gsps CLN65LP 16 channels 214mm 8 16x12 4 8x64 FPGA Slice 35mm 125GSPS 14-bit resolution ADC FPGA Slice CLN65LP 2-16 channels 246mm 125MSPS-1GSPS / channels 12-bit resolution 35mm 48
49 Noise voltage (μvrms) FPGA Power (W) World Class Digital Noise Immunity Up to 14W of switching power 1000 Analog die isolation from FPGA noise Clock related noise Data related noise Digital power ,000,000 x Analog die 0, FPGA clock (MHz) 0 Interposer shows outstanding isolation from massive digital noise to sensitive analog circuitry (up to 1,000,000 x) 49
50 HBM Memory Integration
51 HBM DRAM 0 HBM DRAM 1 High Bandwidth Integrated Memory Higher memory bandwidth at lower power 1Tbps 2Tbps ~1Gb/s per interposer wire Simple extension of existing work FPGA FPGA Die FPGA 0 FPGA 1 PKG Page 51
52 And Future Will be More Challenging
53 Cost Per Transistor is Saturating Defectivity Driven? Complexity Driven
54 Industry Slowdown to 25 years/node Reasons may be technical or business, either way pace is slowing Intel vs Ideal Moore s Law 32 Node (nm) 22 Ideal Moore s Law Intel CPU Production Year Page 54
55 Summary 3-D Stacking has been instrumental in mitigating cost and power challenges Bandwidth demand will continue to exceed traditional Moore s Law capabilities FPGA s will need to continue leading the industry in novel solutions to challenging problems Page 55
56 Thank You Questions? Page 56
Advancing high performance heterogeneous integration through die stacking
Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting
More informationStacked Silicon Interconnect Technology (SSIT)
Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation
More informationXilinx SSI Technology Concept to Silicon Development Overview
Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview
More informationOpportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing
Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at
More informationBeyond Moore. Beyond Programmable Logic.
Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More
More information3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape
Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration
More informationPushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017
Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless
More informationAll Programmable: from Silicon to System
All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4
More informationVISUALIZING THE PACKAGING ROADMAP
IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019
More informationHigh Performance Memory in FPGAs
High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced
More informationMicroelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica
Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer
More informationEmerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation
Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet
More informationInterconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp
Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary
More information3D Integration & Packaging Challenges with through-silicon-vias (TSV)
NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM
More informationHigh Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx
High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth
More informationPhysical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis
I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary
More informationMoore s s Law, 40 years and Counting
Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference
More informationPhysical Implementation
CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,
More informationTechSearch International, Inc.
Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck
More informationIMEC CORE CMOS P. MARCHAL
APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions
More informationWLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,
WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration
More information3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA
3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion
More informationTSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea
TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities
More informationAdvanced Heterogeneous Solutions for System Integration
Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%
More informationComparison & highlight on the last 3D TSV technologies trends Romain Fraux
Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D
More informationInterposer Technology: Past, Now, and Future
Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,
More informationEECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration
1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements
More information3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER
3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}
More informationBringing 3D Integration to Packaging Mainstream
Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon
More informationMulti-Die Packaging How Ready Are We?
Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective
More informationHigh Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs
Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience
More informationSignal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech
Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems
More informationBurn-in & Test Socket Workshop
Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE
More informationL évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers
I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de
More informationBREAKING THE MEMORY WALL
BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power
More informationTechSearch International, Inc.
Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip
More informationEttus Research Update
Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed
More informationedram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?
edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,
More informationDFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics
DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing
More informationCalibrating Achievable Design GSRC Annual Review June 9, 2002
Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design
More informationNon-contact Test at Advanced Process Nodes
Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer
More informationUsing Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA
1 Using Chiplets to Lower Package Loss IEEE 802.3 100 Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA Chiplet Technology Big, 70mm packages are routine A
More information3D & Advanced Packaging
Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced
More informationEE586 VLSI Design. Partha Pande School of EECS Washington State University
EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in
More informationMoore s Law: Alive and Well. Mark Bohr Intel Senior Fellow
Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend
More informationPackaging Innovation for our Application Driven World
Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration
More informationTechSearch International, Inc.
On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap
More informationZynq-7000 All Programmable SoC Product Overview
Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform
More informationFrom 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved
From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion
More informationFive Emerging DRAM Interfaces You Should Know for Your Next Design
Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market
More informationMore Course Information
More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well
More informationVLSI Design Automation
VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,
More informationMARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC
MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion
More informationIntroduction. Summary. Why computer architecture? Technology trends Cost issues
Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have
More informationECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha
ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives
More informationPackage level Interconnect Options
Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F
More informationOVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS
OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS Table 1a Product Generations and Chip Size Model Technology Nodes Near-term Years... 2 Table 1b Product Generations and Chip Size Model Technology
More informationIntroduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN
1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant
More informationIntro to: Ultra-low power, ultra-high bandwidth density SiP interconnects
This work was supported in part by DARPA under contract HR0011-08-9-0001. The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter
More informationThe Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA
The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions
More informationVertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc
Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness
More informationVLSI Design Automation
VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,
More informationSYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY
SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY 1 1 Outline Impact from Advanced Technologies and High Speed Circuit Designs on Component Level ESD System Level ESD and the
More information2.5D FPGA-HBM Integration Challenges
2.5D FPGA-HBM Integration Challenges Jaspreet Gandhi, Boon Ang, Tom Lee, Henley Liu, Myongseob Kim, Ho Hyung Lee, Gamal Refai-Ahmed, Hong Shi, Suresh Ramalingam Xilinx Inc., San Jose CA Page 1 Presentation
More information3-D Package Integration Enabling Technologies
3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving
More informationHybrid Memory Cube (HMC)
23 Hybrid Memory Cube (HMC) J. Thomas Pawlowski, Fellow Chief Technologist, Architecture Development Group, Micron jpawlowski@micron.com 2011 Micron Technology, I nc. All rights reserved. Products are
More information3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012
3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine
More informationDDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi
DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture Paul Washkewicz Vice President Marketing, Inphi Theme Challenges with Memory Bandwidth Scaling How LRDIMM Addresses this Challenge Under
More informationFuture Memories. Jim Handy OBJECTIVE ANALYSIS
Future Memories Jim Handy OBJECTIVE ANALYSIS Hitting a Brick Wall OBJECTIVE ANALYSIS www.objective-analysis.com Panelists Michael Miller VP Technology, Innovation & Systems Applications MoSys Christophe
More informationA Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013
A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company
More informationHES-7 ASIC Prototyping
Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,
More informationUnleashing the Power of Embedded DRAM
Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers
More informationECE 486/586. Computer Architecture. Lecture # 2
ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:
More informationOutline Marquette University
COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations
More informationIn-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System
In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer
More informationNon-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note
Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D X-ray Microscopy Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D
More informationChapter 0 Introduction
Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power
More informationWaferBoard Rapid Prototyping
WaferBoard Rapid Prototyping WaferBoard (cover not shown) 1. Select components that are packaged in ball grid array, QFP, TSOP, etc. 2. Place the packaged components FPGAs, ASICs, processors, memories,
More informationFuture of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1
Future of Interconnect Fabric A ontrarian View Shekhar Borkar June 13, 2010 Intel orp. 1 Outline Evolution of interconnect fabric On die network challenges Some simple contrarian proposals Evaluation and
More information3D Technologies For Low Power Integrated Circuits
3D Technologies For Low Power Integrated Circuits Paul Franzon North Carolina State University Raleigh, NC paulf@ncsu.edu 919.515.7351 Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization
More informationReconfigurable Computing
Reconfigurable Computing FPGA Architecture Architecture should speak of its time and place, but yearn for timelessness. Frank Gehry Philip Leong (philip.leong@sydney.edu.au) School of Electrical and Information
More informationIntel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation
Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that
More informationA Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache
A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in
More informationVLSI Design Automation. Calcolatori Elettronici Ing. Informatica
VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing
More informationProbing 25µm-diameter micro-bumps for Wide-I/O 3D SICs
The International Magazine for the Semiconductor Packaging Industry Volume 18, Number 1 January February 2014 Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs Page 20 3D ICs The future of interposers
More informationHigh performance HBM Known Good Stack Testing
High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation
More informationJapanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?
Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides
More informationQuilt Packaging For Power Electronics
Quilt Packaging For Power Electronics 21 March 2013 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction Quilt Packaging (QP) technology Concept Examples Advantages
More informationEITF35: Introduction to Structured VLSI Design
EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System
More informationAbbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University
Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking
More informationThermal Management Challenges in Mobile Integrated Systems
Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing
More informationInnovative 3D Structures Utilizing Wafer Level Fan-Out Technology
Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,
More informationSoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd
SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry
More informationAdvanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.
Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March
More informationDFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group
I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s
More informationINTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)
INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design
More informationPackaging Technology for Image-Processing LSI
Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on
More informationFABRICATION TECHNOLOGIES
FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general
More informationEnabling TB-Class and GB/s-Performance SSDs with HLNAND
Enabling TB-Class and GB/s-Performance SSDs with HLNAND November 2011 Agenda Introduction HyperLink NAND (HLNAND) Flash Technology Signal Integrity Summary 2 NAND Flash History Vendor and product differentiation
More informationSimplify System Complexity
Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint
More information