ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)

Size: px
Start display at page:

Download "ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)"

Transcription

1 ΗΜΥ 408 ΨΗΦΙΑΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΜΕ FPGAs Χειμερινό Εξάμηνο 2018 ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) (ack: Jurriaan Schmitz, Semiconductor Components) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Also based on: Roberto Bez et al., ST Microelectronics Proceedings of the IEEE, Vol. 91 no. 4, April 2003.

2 Before we start What do we need to program? What are the configuration bits? Switchbox Routing Channel CLB Routing Channel IOB Configuration Bit ΗΜΥ408 Δ05 FPGA Programming Technologies.2 Θεοχαρίδης, ΗΜΥ, 2018

3 Other bits? I/O Block configuration bits LUTs in each CLB Look up tables made from small RAM Can also be used as small memory blocks ΗΜΥ408 Δ05 FPGA Programming Technologies.3 Θεοχαρίδης, ΗΜΥ, 2018

4 So how do we connect and disconnect wires? Configure logic CLBs, LUTs, FFs Configure interconnect channel, switchbox Large number of bits 10s MB Configuration bit technology Antifuse (program once) SRAM Floating Gate (Flash) ΗΜΥ408 Δ05 FPGA Programming Technologies.4 Θεοχαρίδης, ΗΜΥ, 2018

5 MOSFET I-V Characteristics MOSFET I-V Characteristics : Linear Region - When V GS > V T, a channel is formed. I DS is dependant on the V DS voltage - When V DS = 0v, no current flows ΗΜΥ408 Δ05 FPGA Programming Technologies.5 Θεοχαρίδης, ΗΜΥ, 2018

6 Threshold Voltage MOSFET under Bias (Inversion) - as V GS gets larger, it will form an inversion layer beneath the Gate oxide by attracting the minority carriers in the substrate to the oxide-si surface. - when the surface potential of the gate reaches the bulk Fermi potential, the surface inversion will be established and an n-channel will form - this channel forms a path between the Source and Drain φ = s φ F ΗΜΥ408 Δ05 FPGA Programming Technologies.6 Θεοχαρίδης, ΗΜΥ, 2018

7 Why all these? Because it is important to understand what causes a transistor to change state What causes a transistor to STORE state? What causes a transistor to turn-off? What happens when the voltage across the gate increases above 5V(to any scale that is?) ΗΜΥ408 Δ05 FPGA Programming Technologies.7 Θεοχαρίδης, ΗΜΥ, 2018

8 Memory Non-volatile memories what are NVM method of operation EPROM, EEPROM, and Flash Reliability concerns retention endurance Scaling ΗΜΥ408 Δ05 FPGA Programming Technologies.8 Θεοχαρίδης, ΗΜΥ, 2018

9 Non-Volatile Memories A non-volatile memory is a memory that can hold its information without the need for an external voltage supply. The data can be electrically cleared and rewritten Examples: Magnetic Core Hard-disk OTP: one-time programmable (diodes/fuses) EPROM: electrically programmable ROM EEPROM: electrically erasable and programmable ROM Flash ΗΜΥ408 Δ05 FPGA Programming Technologies.9 Θεοχαρίδης, ΗΜΥ, 2018

10 IC memory classification Volatile memories Lose data when power down Non-volatile memories Keep data without power supply SRAM DRAM ROM PROM EPROM EEPROM FLASH EEPROM ΗΜΥ408 Δ05 FPGA Programming Technologies.10 Θεοχαρίδης, ΗΜΥ, 2018

11 Non-volatile memory comparison Floating gate memories ΗΜΥ408 Δ05 FPGA Programming Technologies.11 Θεοχαρίδης, ΗΜΥ, 2018

12 How does a Flash memory cell work? How does a MOS transistor work? What is a semiconductor? ΗΜΥ408 Δ05 FPGA Programming Technologies.12 Θεοχαρίδης, ΗΜΥ, 2018

13 Semiconductor essentials: properties Metallic conductor: typically 1 or 2 freely moving electrons per atom Semiconductor: typically 1 freely moving electron per atoms ΗΜΥ408 Δ05 FPGA Programming Technologies.13 Θεοχαρίδης, ΗΜΥ, 2018

14 Semiconductor essentials - resistivity ΗΜΥ408 Δ05 FPGA Programming Technologies.14 Θεοχαρίδης, ΗΜΥ, 2018

15 Semiconductors in the periodic table II III IV V VI Be B C N O Mg Al Si P S Zn Ga Ge As Se Elemental semiconductors: C, Si, Ge (all group IV) Compound semiconductors: III-V: GaAs, GaN II-VI: ZnO, ZnS, Group-III and group-v atoms are dopants ΗΜΥ408 Δ05 FPGA Programming Technologies.15 Θεοχαρίδης, ΗΜΥ, 2018

16 Semiconductor essentials: impurities Small impurities can dramatically change conductivity: slight phosphorous contamination in silicon gives many extra free electrons in the material (one per P atom!) slight aluminum contamination gives many extra holes (one per Al atom) P Al ΗΜΥ408 Δ05 FPGA Programming Technologies.16 Θεοχαρίδης, ΗΜΥ, 2018

17 (silicon lattice is of course 3D!) ΗΜΥ408 Δ05 FPGA Programming Technologies.17 Θεοχαρίδης, ΗΜΥ, 2018

18 Silicon dopants II III IV V VI Be B C N O Mg Al Si P S Boron most widely used as p-type dopant; Phosphorous and arsenic both used widely as n-type dopant Zn Ga Ge As Se In ΗΜΥ408 Δ05 FPGA Programming Technologies.18 Θεοχαρίδης, ΗΜΥ, 2018

19 Semiconductor essentials: n and p type n-type doped semiconductor e.g. silicon with phosphorus impurity electrons determine conductivity p-type doped semiconductor e.g. silicon with Al impurity holes determine conductivity p-n junction: current can only flow one way! Semiconductor diode ΗΜΥ408 Δ05 FPGA Programming Technologies.19 Θεοχαρίδης, ΗΜΥ, 2018

20 The field effect accumulation depletion inversion ΗΜΥ408 Δ05 FPGA Programming Technologies.20 Θεοχαρίδης, ΗΜΥ, 2018

21 The MOS transistor SOURCE DRAIN ΗΜΥ408 Δ05 FPGA Programming Technologies.21 Θεοχαρίδης, ΗΜΥ, 2018

22 A MOS transistor layout source gate drain source gate drain (top view) (cross section) (cross section) ΗΜΥ408 Δ05 FPGA Programming Technologies.22 Θεοχαρίδης, ΗΜΥ, 2018

23 NMOS and PMOS transistors NMOS Free electron Free hole PMOS Conducts at +V GB Conducts at -V GB NMOS + PMOS = CMOS ΗΜΥ408 Δ05 FPGA Programming Technologies.23 Θεοχαρίδης, ΗΜΥ, 2018

24 MOSFET operation (very basic) C V fb V T accumulation depletion inversion V ΗΜΥ408 Δ05 FPGA Programming Technologies.24 Θεοχαρίδης, ΗΜΥ, 2018

25 Current through the MOS transistor inversion Channel charge: Q ~ (V gs V T ) Channel current: I ~ (V gs V T ) MOS transistor - simplistic MOS transistor - real I I V gs V gs V T V T ΗΜΥ408 Δ05 FPGA Programming Technologies.25 Θεοχαρίδης, ΗΜΥ, 2018

26 So how do we connect and disconnect wires? Configure logic CLBs, LUTs, FFs Configure interconnect channel, switchbox Large number of bits 10s MB Configuration bit technology Antifuse (program once) SRAM Floating Gate (Flash) ΗΜΥ408 Δ05 FPGA Programming Technologies.26 Θεοχαρίδης, ΗΜΥ, 2018

27 Antifuse An antifuse is the opposite of a regular fuse an antifuse is normally an open circuit until you force a programming current through it (about 5 ma). In a poly diffusion antifuse the high current density causes a large power dissipation in a small area, which melts a thin insulating dielectric between polysilicon and diffusion electrodes and forms a thin (about 20 nm in diameter), permanent, and resistive silicon link. The programming process also drives dopant atoms from the poly and diffusion electrodes into the link, and the final level of doping determines the resistance value of the link. Actel calls its antifuse a programmable low-impedance circuit element (PLICE). ΗΜΥ408 Δ05 FPGA Programming Technologies.27 Θεοχαρίδης, ΗΜΥ, 2018

28 Poly-Diffusion Antifuse ΗΜΥ408 Δ05 FPGA Programming Technologies.28 Θεοχαρίδης, ΗΜΥ, 2018

29 Metal Metal Antifuse There are two advantages of a metal metal antifuse over a poly diffusion antifuse. The first is that connections to a metal metal antifuse are direct to metal the wiring layers. Connections from a poly diffusion antifuse to the wiring layers require extra space and create additional parasitic capacitance. The second advantage is that the direct connection to the low-resistance metal layers makes it easier to use larger programming currents to reduce the antifuse resistance. Average QuickLogic metal metal antifuse resistance is approximately 80 W (with a standard deviation of about 10 W ) using a programming current of 15 ma as opposed to an average antifuse resistance of 500 W (with a programming current of 5 ma) for a poly diffusion antifuse. ΗΜΥ408 Δ05 FPGA Programming Technologies.29 Θεοχαρίδης, ΗΜΥ, 2018

30 Antifuse disadvantages The size of an antifuse is limited by the resolution of the lithography equipment used to makes ICs. The Actel antifuse connects diffusion and polysilicon, and both these materials are too resistive for use as signal interconnects. To connect the antifuse to the metal layers requires contacts that take up more space than the antifuse itself, reducing the advantage of the small antifuse size. However, the antifuse is so small that it is normally the contact and metal spacing design rules that limit how closely the antifuses may be packed rather than the size of the antifuse itself. ΗΜΥ408 Δ05 FPGA Programming Technologies.30 Θεοχαρίδης, ΗΜΥ, 2018

31 Antifuse Resistance An antifuse is resistive and the addition of contacts adds parasitic capacitance. The intrinsic parasitic capacitance of an antifuse is small (approximately 1 2 ff in a 1 m m CMOS process), but to this we must add the extrinsic parasitic capacitance that includes the capacitance of the diffusion and poly electrodes (in a poly diffusion antifuse) and connecting metal wires (approximately 10 ff). These unwanted parasitic elements can add considerable RC interconnect delay if the number of antifuses connected in series is not kept to an absolute minimum. ΗΜΥ408 Δ05 FPGA Programming Technologies.31 Θεοχαρίδης, ΗΜΥ, 2018

32 Antifuse Reliability The long-term reliability of antifuses is an important issue since there is a tendency for the antifuse properties to change over time. ΗΜΥ408 Δ05 FPGA Programming Technologies.32 Θεοχαρίδης, ΗΜΥ, 2018

33 Antifuse The fabrication process and the programming current control the average resistance of a blown antifuse, but values vary. In a particular technology a programming current of 5 ma may result in an average blown antifuse resistance of about 500ohms. Increasing the programming current to 15 ma might reduce the average antifuse resistance to 100ohms Antifuses separate interconnect wires on the FPGA chip and the programmer blows an antifuse to make a permanent connection. Once an antifuse is programmed, the process cannot be reversed. This is an OTP technology (and radiation hard). An Actel 1010, for example, contains 112,000 antifuses, but we typically only need to program about 2 percent of the fuses on an Actel chip. ΗΜΥ408 Δ05 FPGA Programming Technologies.33 Θεοχαρίδης, ΗΜΥ, 2018

34 SRAM Memory SRAM Cell SRAM Configuration Mode Bit (Read/Write) Input Data Volatile 6 CMOS transistors Standard fabrication process SRAM Output ΗΜΥ408 Δ05 FPGA Programming Technologies.34 Θεοχαρίδης, ΗΜΥ, 2018

35 SRAM Technology ΗΜΥ408 Δ05 FPGA Programming Technologies.35 Θεοχαρίδης, ΗΜΥ, 2018

36 SRAM Advantages and Disadvantages Since SRAM is volatile, the FPGA must be loaded and configured at the time of chip power-up. This requires external permanent memory to provide the programming bits such as PROM, EPROM, EEPROM or magnetic disk. A major disadvantage of SRAM programming technology is its large area. It takes 4-6 transistors to implement an SRAM cell SRAM programming technology has two major advantages fast re-programmability requires only standard integrated circuit process technology. ΗΜΥ408 Δ05 FPGA Programming Technologies.36 Θεοχαρίδης, ΗΜΥ, 2018

37 Floating Gate (EPROM/EEPROM/Flash) By applying proper programming voltages, electrons jump onto floating gate Electrons on gate raise threshold voltage so transistor always off Flash switch is comprised of two transistors which share a gate ΗΜΥ408 Δ05 FPGA Programming Technologies.37 Θεοχαρίδης, ΗΜΥ, 2018

38 Flash vs. SRAM Logic and routing configured with Flash memory Can be reconfigured when ever needed Does not loose configuration when powered down Needs technology with support for floating gates Uses fine grain cells which can be configured as logic or storage ΗΜΥ408 Δ05 FPGA Programming Technologies.38 Θεοχαρίδης, ΗΜΥ, 2018

39 SRAM Versus Flash Switch & Memory Size SRAM based PLD Switch & Routing FLASH based PLD Memory Cell Memory Cell Switch & Routing ΗΜΥ408 Δ05 FPGA Programming Technologies.39 Θεοχαρίδης, ΗΜΥ, 2018

40 FLASH Floating Gate Memory ΗΜΥ408 Δ05 FPGA Programming Technologies.40 Θεοχαρίδης, ΗΜΥ, 2018

41 Floating gate animation ΗΜΥ408 Δ05 FPGA Programming Technologies.41 Θεοχαρίδης, ΗΜΥ, 2018

42 Floating gate transistor: principle V T is shifted by injecting electrons into the floating gate; It is shifted back by removing these electrons again. Floating gate Control gate ΗΜΥ408 Δ05 FPGA Programming Technologies.42 Θεοχαρίδης, ΗΜΥ, 2018

43 Channel charge in floating gate transistors unprogrammed programmed Control gate Control gate Floating gate Floating gate silicon To obtain the same channel charge, the programmed gate needs a higher control-gate voltage than the unprogrammed gate ΗΜΥ408 Δ05 FPGA Programming Technologies.43 Θεοχαρίδης, ΗΜΥ, 2018

44 Logic 0 and 1 Reading a bit means: I d 1. Apply V read on the control gate ΔV T = -Q/C pp 2. Measure drain current I d of the floating-gate transistor When cells are placed in a matrix: drain lines V read 1 I read >> 0 0 I read = 0 V gs Control gate lines ΗΜΥ408 Δ05 FPGA Programming Technologies.44 Θεοχαρίδης, ΗΜΥ, 2018

45 NOR or NAND addressing (For multi-bit memories) NOR Word = control gate; bit = drain NAND less contacts more compact ΗΜΥ408 Δ05 FPGA Programming Technologies.45 Θεοχαρίδης, ΗΜΥ, 2018

46 NAND versus NOR 10x better endurance Fast read (~100 ns) Slow write (~10 μs) Used for Code Smaller cell size Slow read (~1 μs) Faster write (~1 μs) Used for Data ΗΜΥ408 Δ05 FPGA Programming Technologies.46 Θεοχαρίδης, ΗΜΥ, 2018

47 For large memories: Array addressing ΗΜΥ408 Δ05 FPGA Programming Technologies.47 Θεοχαρίδης, ΗΜΥ, 2018

48 Larger memories: cut into blocks ΗΜΥ408 Δ05 FPGA Programming Technologies.48 Θεοχαρίδης, ΗΜΥ, 2018

49 ΗΜΥ408 Δ05 FPGA Programming Technologies.49 Θεοχαρίδης, ΗΜΥ, 2018

50 Programming and erasing the floating gate Floating gate Control gate Control gate Floating gate SiO 2 Si 3 N 4 Polysilicon ΗΜΥ408 Δ05 FPGA Programming Technologies.50 Θεοχαρίδης, ΗΜΥ, 2018

51 Program/erase of a floating gate transistor Floating gate is surrounded by insulating material. How to drive charge in and out of it? Injection/ejection mechanisms: Fowler-Nordheim tunneling (FN) Channel Hot Electron Injection (CHE) Irradiation (most common: UV, for EPROMs) ΗΜΥ408 Δ05 FPGA Programming Technologies.51 Θεοχαρίδης, ΗΜΥ, 2018

52 Program/erase mechanisms ΗΜΥ408 Δ05 FPGA Programming Technologies.52 Θεοχαρίδης, ΗΜΥ, 2018

53 Flash program and erase methods ΗΜΥ408 Δ05 FPGA Programming Technologies.53 Θεοχαρίδης, ΗΜΥ, 2018

54 CHE: Hot electron programming Field kinetic energy overcome the barrier Hot holes Hot electrons Hole substrate current Pinch-off high electric fields near drain hot carrier injection through SiO 2 Note: < 1% of the electrons will reach the floating gate power-inefficient ΗΜΥ408 Δ05 FPGA Programming Technologies.54 Θεοχαρίδης, ΗΜΥ, 2018

55 Programming: Channel Hot Electron Injection ΗΜΥ408 Δ05 FPGA Programming Technologies.55 Θεοχαρίδης, ΗΜΥ, 2018

56 CHE: properties Works only to create a positive V T shift High power consumption: ~300 µa/cell (most electrons get to the drain: lost effort) Moderate programming voltages Risky: hot carriers can damage materials May lead to fixed charge, interface traps, bulk traps Results in degradation of the cell (see later) ΗΜΥ408 Δ05 FPGA Programming Technologies.56 Θεοχαρίδης, ΗΜΥ, 2018

57 Fowler-Nordheim tunneling Uniform tunneling through entire dielectric is possible V T -shift can be positive as well as negative Can be used for program and erase Requires high voltage and high capacitances Little power needed (~10 na/cell) FN Risks of this technique: Charge trapping in oxide Stress-induced leakage current Defect-related oxide breakdown ΗΜΥ408 Δ05 FPGA Programming Technologies.57 Θεοχαρίδης, ΗΜΥ, 2018

58 Uniform or drain-side FN tunneling Non-uniform: only for erasing; less demanding for the dielectric ΗΜΥ408 Δ05 FPGA Programming Technologies.58 Θεοχαρίδης, ΗΜΥ, 2018

59 Alternative: tunnel through interpoly oxide (erasing, combined with CHE program) Less demanding for the tunnel oxide Therefore less SILC and better retention More demanding for interpoly oxide ΗΜΥ408 Δ05 FPGA Programming Technologies.59 Θεοχαρίδης, ΗΜΥ, 2018

60 NOR and NAND flash technology ΗΜΥ408 Δ05 FPGA Programming Technologies.60 Θεοχαρίδης, ΗΜΥ, 2018

61 The Floating-gate transistor (FAMOS) Source Floating gate Gate Drain D t ox G n + Substrate p t ox n +_ S Device cross-section Schematic symbol ΗΜΥ408 Δ05 FPGA Programming Technologies.61 Θεοχαρίδης, ΗΜΥ, 2018

62 Floating-Gate Transistor Programming 20 V 0 V 5 V 10 V 5 V 20 V - 5 V 0 V V 5 V S D S D S D Avalanche injection Removing programming voltage leaves charge trapped Programming results in higher V T. ΗΜΥ408 Δ05 FPGA Programming Technologies.62 Θεοχαρίδης, ΗΜΥ, 2018

63 A Programmable-Threshold Transistor I D 0 -state 1 -state ON DV T OFF V WL V GS ΗΜΥ408 Δ05 FPGA Programming Technologies.63 Θεοχαρίδης, ΗΜΥ, 2018

64 Floating Gate Technology - EPROM The programmable switch is a transistor that can be permanently disabled. Accomplished by injecting a charge on the floating gate (gate 2) using a high voltage between the control gate 1 and the drain of the transistor. Charge increases the threshold voltage of the transistor so that it turns off. Charge is removed by exposing the floating gate to UV light. lowers the threshold voltage of the transistor makes the transistor function normally. ΗΜΥ408 Δ05 FPGA Programming Technologies.64 Θεοχαρίδης, ΗΜΥ, 2018

65 EPROM Programming takes several microsecs/word (5-10) Erasure occurs off system Limited endurance (number of erasures) is limited to a maximum of thousand Device thresholds may vary with erasure High power dissipation during programming Extremely simple high density Fallen out of favor replaced by FLASH ΗΜΥ408 Δ05 FPGA Programming Technologies.65 Θεοχαρίδης, ΗΜΥ, 2018

66 FLOTOX EEPROM Floating gate Source Gate Drain I nm n 1 Substrate n 1 p 10 nm -10 V 10 V V GD FLOTOX transistor Fowler-Nordheim I-V characteristic Threshold is affected by initial charge; thickness of oxide and applied programming Voltage; Removing too much charge from floating gate can make transistors always ON ΗΜΥ408 Δ05 FPGA Programming Technologies.66 Θεοχαρίδης, ΗΜΥ, 2018

67 EEPROM Cell BL WL V DD Absolute threshold control is hard Unprogrammed transistor might be in depletion 2 transistor cell ΗΜΥ408 Δ05 FPGA Programming Technologies.67 Θεοχαρίδης, ΗΜΥ, 2018

68 EEPROM Advantages/Disadvantages Larger because of two cells FLOTOX intrinsically larger than FAMOS Fabrication of thin oxide is challenging and costly EEPROMS have larger erase cycles 10^5 Repeated programming causes shift in threshold voltages ΗΜΥ408 Δ05 FPGA Programming Technologies.68 Θεοχαρίδης, ΗΜΥ, 2018

69 Flash EEPROM Control gate Floating gate erasure n 1 source programming p- substrate Thin tunneling oxide n 1 drain Combines density of EPROM with versatility of EEPROM ΗΜΥ408 Δ05 FPGA Programming Technologies.69 Θεοχαρίδης, ΗΜΥ, 2018

70 Cross-sections of NVM cells Flash EPROM Courtesy Intel ΗΜΥ408 Δ05 FPGA Programming Technologies.70 Θεοχαρίδης, ΗΜΥ, 2018

71 Advanced Flash Switch Actel ProASIC3 family uses a live on power-up ISP (in system programmability) Flash switch as its programming element. Flash cells are distributed throughout the device to provide nonvolatile, reconfigurable programming to connect signal lines to the appropriate VersaTile inputs and outputs. The use of the Flash switch technology also removes the possibility of firm errors, which are increasingly common in SRAM-based FPGAs. ΗΜΥ408 Δ05 FPGA Programming Technologies.71 Θεοχαρίδης, ΗΜΥ, 2018

72 Flash Switch In the Flash switch, two transistors share the floating gate, which stores the programming information. One is the sensing transistor, which is only used for writing and verification of the floating gate voltage. The other is the switching transistor which is used to connect or separate routing nets, or to configure VersaTile logic. It is also used to erase the floating gate. ΗΜΥ408 Δ05 FPGA Programming Technologies.72 Θεοχαρίδης, ΗΜΥ, 2018

73 ΗΜΥ408 Δ05 FPGA Programming Technologies.74 Θεοχαρίδης, ΗΜΥ, 2018

74 ΗΜΥ408 Δ05 FPGA Programming Technologies.75 Θεοχαρίδης, ΗΜΥ, 2018

75 ΗΜΥ408 Δ05 FPGA Programming Technologies.76 Θεοχαρίδης, ΗΜΥ, 2018

76 ΗΜΥ408 Δ05 FPGA Programming Technologies.77 Θεοχαρίδης, ΗΜΥ, 2018

77 Basic Operations in a NOR Flash Memory Erase cell array BL 0 BL 1 12 V G 0 V WL 0 S D 12 V 0 V WL 1 open open ΗΜΥ408 Δ05 FPGA Programming Technologies.78 Θεοχαρίδης, ΗΜΥ, 2018

78 Basic Operations in a NOR Flash Memory Write 12 V G 6 V 12 V Always off BL 0 BL 1 WL 0 S D 0 V 0 V WL 1 6 V 0 V ΗΜΥ408 Δ05 FPGA Programming Technologies.79 Θεοχαρίδης, ΗΜΥ, 2018

79 Basic Operations in a NOR Flash Memory Read 5 V G 1 V 5 V BL 0 BL 1 WL 0 S D 0 V 0 V WL 1 1 V 0 V ΗΜΥ408 Δ05 FPGA Programming Technologies.80 Θεοχαρίδης, ΗΜΥ, 2018

80 NAND Flash Memory Word line(poly) Unit Cell Gate ONO Gate Oxide FG Source line (Diff. Layer) Courtesy Toshiba ΗΜΥ408 Δ05 FPGA Programming Technologies.81 Θεοχαρίδης, ΗΜΥ, 2018

81 Characteristics of State-of-the-art NVM ΗΜΥ408 Δ05 FPGA Programming Technologies.83 Θεοχαρίδης, ΗΜΥ, 2018

82 Different Technologies ΗΜΥ408 Δ05 FPGA Programming Technologies.84 Θεοχαρίδης, ΗΜΥ, 2018

83 Comparison of Technologies SRAM Antifuse Flash Speed Medium Fast Slow Power Poor Good Good Relative Size 1 1/10 1/7 Reprogram Yes No Yes Size Large Small Moderate Volatile Yes No No Security?????? ΗΜΥ408 Δ05 FPGA Programming Technologies.85 Θεοχαρίδης, ΗΜΥ, 2018

84 Some Examples Xilinx, Altera SRAM Actel Antifuse Actel, Atmel EEPROM Lattice, Actel - Flash ΗΜΥ408 Δ05 FPGA Programming Technologies.86 Θεοχαρίδης, ΗΜΥ, 2018

85 Additional Reading Architecture of Field-Programmable Gate Arrays, Proc. PROCEEDINGS OF THE IEEE. VOL 81. NO 7. JULY 1993 Chap 12: Digital Integrated Circuits Second Edition J. Rabaey et. Al. Chap 4 online text book ΗΜΥ408 Δ05 FPGA Programming Technologies.87 Θεοχαρίδης, ΗΜΥ, 2018

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable.

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable. PLDs, ASICs and FPGAs FPGA definition: Digital integrated circuit that contains configurable blocks of logic and configurable interconnects between these blocks. Key points: Manufacturer does NOT determine

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices Instructor: Professor Jim Plusquellic Programmable Logic Devices Text: The Design Warrior s Guide to FPGAs, Devices, Tools and Flows, Clive "Max" Maxfield, ISBN: 0-7506-7604-3 Modeling, Synthesis and Rapid

More information

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab Flash Memories Ramin Roosta Dept. of Computer Engineering EE 595 EDA / ASIC Design Lab Content Non-volatile memories Flash applications Industry standards Architectures Main reliability issues New cells

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 28: DRAM & Flash Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Review of Last Lecture

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester ROMs, Non-volatile and Flash Memories ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr Revisit Previous

More information

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii Digital Systems Semiconductor memories Departamentul de Bazele Electronicii Outline ROM memories ROM memories PROM memories EPROM memories EEPROM, Flash, MLC memories Applications with ROM memories extending

More information

EE141-Fall 2007 Digital Integrated Circuits. ROM and Flash. Announcements. Read-Only Memory Cells. Class Material. Semiconductor Memory Classification

EE141-Fall 2007 Digital Integrated Circuits. ROM and Flash. Announcements. Read-Only Memory Cells. Class Material. Semiconductor Memory Classification EE4-Fall 2007 igital Integrated Circuits Lecture 29 ROM, Flash, and RAM ROM and Flash 4 4 Announcements Final ec. 20 th Room TBA Final review sessions: Mon. ec. 7 th 3:30pm, 550 Cory Tues. ec. 7 th 3:30pm,

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

FYSE420 DIGITAL ELECTRONICS. Lecture 7

FYSE420 DIGITAL ELECTRONICS. Lecture 7 FYSE420 DIGITAL ELECTRONICS Lecture 7 1 [1] [2] [3] DIGITAL LOGIC CIRCUIT ANALYSIS & DESIGN Nelson, Nagle, Irvin, Carrol ISBN 0-13-463894-8 DIGITAL DESIGN Morris Mano Fourth edition ISBN 0-13-198924-3

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Access Time Time needed by the device to present the data out after the address has been validated.

Access Time Time needed by the device to present the data out after the address has been validated. 12 GLOSSARY OF TERMS Acceptor An impurity that can make a semiconductor P-type by causing the absence of electrons in the conduction band (called holes ). These holes are carriers of positive charge. Access

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

CMPE 415 Programmable Logic Devices FPGA Technology I

CMPE 415 Programmable Logic Devices FPGA Technology I Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices FPGA Technology I Prof. Ryan Robucci Some slides (blue-frame) developed by Jim Plusquellic Some images credited

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs PLAs & PALs Programmable Logic Devices (PLDs) PLAs and PALs PLAs&PALs By the late 1970s, standard logic devices were all the rage, and printed circuit boards were loaded with them. To offer the ultimate

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

LSN 6 Programmable Logic Devices

LSN 6 Programmable Logic Devices LSN 6 Programmable Logic Devices Department of Engineering Technology LSN 6 What Are PLDs? Functionless devices in base form Require programming to operate The logic function of the device is programmed

More information

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL 1 M. Vasudha, 2 B. Sri Pravallika, 3 Ch. Sai Kiran, 4 P. Subhani, 5 G. Rakesh Chowdary, 6 M Durga Prakash, 7 K Hari Kishore, 8 T.V. Ramakrishna

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Introduction to CMOS VLSI Design. Semiconductor Memory Harris and Weste, Chapter October 2018

Introduction to CMOS VLSI Design. Semiconductor Memory Harris and Weste, Chapter October 2018 Introduction to CMOS VLSI Design Semiconductor Memory Harris and Weste, Chapter 12 25 October 2018 J. J. Nahas and P. M. Kogge Modified from slides by Jay Brockman 2008 [Including slides from Harris &

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification

More information

FLASH MEMORIES SPRINGER-SCIENCE+BUSINESS MEDIA, LLC. Paulo Cappelletti. Carla Golla. PieroOlivo. Enrico Zanoni

FLASH MEMORIES SPRINGER-SCIENCE+BUSINESS MEDIA, LLC. Paulo Cappelletti. Carla Golla. PieroOlivo. Enrico Zanoni FLASH MEMORIES FLASH MEMORIES By Paulo Cappelletti Carla Golla PieroOlivo Enrico Zanoni SPRINGER-SCIENCE+BUSINESS MEDIA, LLC Library of Congress Cataloging-in-Publication Data Flash memories 1 by Paulo

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

COMP3221: Microprocessors and. and Embedded Systems. Overview. Lecture 23: Memory Systems (I)

COMP3221: Microprocessors and. and Embedded Systems. Overview. Lecture 23: Memory Systems (I) COMP3221: Microprocessors and Embedded Systems Lecture 23: Memory Systems (I) Overview Memory System Hierarchy RAM, ROM, EPROM, EEPROM and FLASH http://www.cse.unsw.edu.au/~cs3221 Lecturer: Hui Wu Session

More information

Embedded Memory Alternatives

Embedded Memory Alternatives EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 26: Embedded Memory - Flash Slides Courtesy of Randy McKee, TI Embedded Memory Alternatives Courtesy Randy McKee, TI 2 1 3 4 2 5 SRAM 3

More information

Flash TOSHIBA TOSHIBA

Flash TOSHIBA TOSHIBA Flash VOLATILE Mobile Application Low Power SDRAM Pseudo SRAM High Speed Application embedded edram PLEDM FBC memory Low Power Low Power SRAM QDR SRAM DDR SRAM Sigma RAM FeRAM High Speed MRAM OUM Universal

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point. Announcements (Crude) notes for switching speed example from lecture last week posted. Schedule Final Project demo with TAs. Written project report to include written evaluation section. Send me suggestions

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

ELCT 912: Advanced Embedded Systems

ELCT 912: Advanced Embedded Systems Advanced Embedded Systems Lecture 2: Memory and Programmable Logic Dr. Mohamed Abd El Ghany, Memory Random Access Memory (RAM) Can be read and written Static Random Access Memory (SRAM) Data stored so

More information

When it comes to double-density Flash memory, some pairs are just better.

When it comes to double-density Flash memory, some pairs are just better. MirrorBit Flash When it comes to double-density Flash memory, some pairs are just better. AMD pairs high-performance with reliability in a single Flash memory cell, with revolutionary results. Introducing

More information

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc.

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc. Content courtesy of Wikipedia.org David Harrison, CEO/Design Engineer for Model Sounds Inc. Common FLASH Memory SD cards + mini, micro versions serial interface slower Compact Flash - parallel interface

More information

RTL Design (2) Memory Components (RAMs & ROMs)

RTL Design (2) Memory Components (RAMs & ROMs) RTL Design (2) Memory Components (RAMs & ROMs) Memory Components All sequential circuit have a form of memory Register, latches, etc However, the term memory is generally reserved for bits that are stored

More information

Advanced 1 Transistor DRAM Cells

Advanced 1 Transistor DRAM Cells Trench DRAM Cell Bitline Wordline n+ - Si SiO 2 Polysilicon p-si Depletion Zone Inversion at SiO 2 /Si Interface [IC1] Address Transistor Memory Capacitor SoC - Memory - 18 Advanced 1 Transistor DRAM Cells

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L22 S.1

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

8051 INTERFACING TO EXTERNAL MEMORY

8051 INTERFACING TO EXTERNAL MEMORY 8051 INTERFACING TO EXTERNAL MEMORY Memory Capacity The number of bits that a semiconductor memory chip can store Called chip capacity It can be in units of Kbits (kilobits), Mbits (megabits), and so on

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

Applications Emerging to Employ Embedded Non- Volatile Memory

Applications Emerging to Employ Embedded Non- Volatile Memory Applications Emerging to Employ Embedded Non- Volatile Memory May 2011 By: Linh Hong Vice President of Marketing Kilopass Technology Inc. www.kilopass.com To learn more about Kilopass, stop by the Chip

More information

memories The world of Memories DEEP SUBMICRON CMOS DESIGN 10. Memories

memories The world of Memories DEEP SUBMICRON CMOS DESIGN 10. Memories 10 Memories This chapter described the memory cell architecture. After a general introduction, we detail the principles and implementation of static RAM, dynamic RAM, read-only memories, electrically erasable

More information

Read and Write Cycles

Read and Write Cycles Read and Write Cycles The read cycle is shown. Figure 41.1a. The RAS and CAS signals are activated one after the other to latch the multiplexed row and column addresses respectively applied at the multiplexed

More information

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Journal of the Korean Physical Society, Vol. 41, No. 6, December 2002, pp. 846 850 A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Jai-Cheol

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

A Step Ahead in Phase Change Memory Technology

A Step Ahead in Phase Change Memory Technology A Step Ahead in Phase Change Memory Technology Roberto Bez Process R&D Agrate Brianza (Milan), Italy 2010 Micron Technology, Inc. 1 Outline Non Volatile Memories Status The Phase Change Memories An Outlook

More information

Flash Memory. Gary J. Minden November 12, 2013

Flash Memory. Gary J. Minden November 12, 2013 Flash Memory Gary J. Minden November 12, 2013 1 Memory Types Static Random Access Memory (SRAM) Register File Cache Memory on Processor Dynamic Random Access Memory (DRAM, SDRAM) Disk Solid State Disk

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Motivation for Lecture. Market for Memories. Example: FFT Design. Sequential Circuits & D flip-flop. Latches and Registers.

Motivation for Lecture. Market for Memories. Example: FFT Design. Sequential Circuits & D flip-flop. Latches and Registers. Motivation for Lecture Design Methodologies Storage (registers and memories) Computational platforms Design Methodologies Memories is a crucial part of most designs: What different type of memories are

More information

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali. EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 9 FPGA Architecture Ranier Yap, Mohamed Ali Annoucements Homework 2 posted Due Wed, May 7 Now is the time to turn-in your Hw

More information

FLASH DATA RETENTION

FLASH DATA RETENTION FLASH DATA RETENTION Document #AN0011 Viking Rev. B Purpose of this Document This application note was prepared to help OEM system designers evaluate the performance of Viking solid state drive solutions

More information

Advanced Information Storage 11

Advanced Information Storage 11 Advanced Information Storage 11 Atsufumi Hirohata Department of Electronics 16:00 11/November/2013 Monday (P/L 002) Quick Review over the Last Lecture Shingled write recording : * Bit patterned media (BPM)

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Introduction. Chapter 1. Logic Non-Volatile Memory. List of Sections. List of Figures

Introduction. Chapter 1. Logic Non-Volatile Memory. List of Sections. List of Figures Logic Non-Volatile Memory by Charles Ching-Hsiang Hsu, Yuan-Tai Lin, Evans Ching-Sung Yang, Rick Shih-Jye Shen Chapter 1 Introduction List of Sections Section 1.1 What Are Logic NVMs 3 Section 1.2 When

More information

Memory Overview. Overview - Memory Types 2/17/16. Curtis Nelson Walla Walla University

Memory Overview. Overview - Memory Types 2/17/16. Curtis Nelson Walla Walla University Memory Overview Curtis Nelson Walla Walla University Overview - Memory Types n n n Magnetic tape (used primarily for long term archive) Magnetic disk n Hard disk (File, Directory, Folder) n Floppy disks

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Lecture 20: CAMs, ROMs, PLAs

Lecture 20: CAMs, ROMs, PLAs Lecture 2: CAMs, ROMs, PLAs Outline Content-Addressable Memories Read-Only Memories Programmable Logic Arrays 2: CAMs, ROMs, and PLAs CMOS VLSI Design 4th Ed. 2 CAMs Extension of ordinary memory (e.g.

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

SLC vs MLC: Considering the Most Optimal Storage Capacity

SLC vs MLC: Considering the Most Optimal Storage Capacity White Paper SLC vs MLC: Considering the Most Optimal Storage Capacity SLC vs MLC: Considering the Most Optimal Storage Capacity P. 1 Introduction Proficiency should be a priority for the storage in computers.

More information

A search for Compromises!

A search for Compromises! EET 310 Chapter 5 Lesson Notes () PL Categories R.L. Jones 11/6/2011 1 OF 5.5 Programmable Logic array types 5.5.1 The FPL PL s come in many varieties. The FPL type has two Programmable areas; the OR array

More information

CENG 4480 L09 Memory 3

CENG 4480 L09 Memory 3 CENG 4480 L09 Memory 3 Bei Yu Chapter 11 Memories Reference: CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 Memory Arrays Memory Arrays Random Access Memory Serial Access

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

WAFER PROBE AND PACKAGE TEST FAILURE ANALYSIS

WAFER PROBE AND PACKAGE TEST FAILURE ANALYSIS Clemson University TigerPrints All Theses Theses 12-2007 WAFER PROBE AND PACKAGE TEST FAILURE ANALYSIS Deepa Kalva Clemson University, dkalva@clemson.edu Follow this and additional works at: http://tigerprints.clemson.edu/all_theses

More information

MEMORY BHARAT SCHOOL OF BANKING- VELLORE

MEMORY BHARAT SCHOOL OF BANKING- VELLORE A memory is just like a human brain. It is used to store data and instructions. Computer memory is the storage space in computer where data is to be processed and instructions required for processing are

More information

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES. psa. rom. fpga THE WAY THE MODULES ARE PROGRAMMED NETWORKS OF PROGRAMMABLE MODULES EXAMPLES OF USES Programmable

More information

FLASH MEMORIES SPRINGER-SCIENCE+BUSINESS MEDIA, LLC. Paulo Cappelletti. Carla Golla. PieroOlivo. Enrico Zanoni

FLASH MEMORIES SPRINGER-SCIENCE+BUSINESS MEDIA, LLC. Paulo Cappelletti. Carla Golla. PieroOlivo. Enrico Zanoni FLASH MEMORIES FLASH MEMORIES By Paulo Cappelletti Carla Golla PieroOlivo Enrico Zanoni SPRINGER-SCIENCE+BUSINESS MEDIA, LLC Library of Congress Cataloging-in-Publication Data Flash memories 1 by Paulo

More information

Flash ( p.531)

Flash ( p.531) Introduction to CMOS VLSI Design Flash (12.4.3.531) J. J. Nahas and P. M. Kogge Modified from slides by Jay Brockman 2008, 2015,2018 [Including slides from Harris & Weste, Ed 4, Adated from Mary Jane Irwin

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis AY 2015-16 26/04/2016-1

More information

Stability and Static Noise Margin Analysis of Static Random Access Memory

Stability and Static Noise Margin Analysis of Static Random Access Memory Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2007 Stability and Static Noise Margin Analysis of Static Random Access Memory Rajasekhar Keerthi Wright

More information

Based on slides/material by. Topic 7-4. Memory and Array Circuits. Outline. Semiconductor Memory Classification

Based on slides/material by. Topic 7-4. Memory and Array Circuits. Outline. Semiconductor Memory Classification Based on slides/material by Topic 7 Memory and Array Circuits K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html Digital Integrated Circuits:

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 23/05/2014-1 ATLCE - F2-2014 DDC 2014 DDC

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

Digital Electronics. CHAPTER THIRTY TWO. Semiconductor Read-Only Memories

Digital Electronics. CHAPTER THIRTY TWO. Semiconductor Read-Only Memories Digital Electronics. CHAPTER THIRTY TWO Semiconductor Read-Only Memories Introduction Diode circuits, BJT circuits, and MOSFET circuits are used to provide memory semiconductor circuits consisting of both

More information

The Zen of Nonvolatile Memories

The Zen of Nonvolatile Memories 47.3 The Zen of Nonvolatile Memories Erwin J. Prinz Freescale Semiconductor, Inc. 6501 William Cannon Drive West Austin, Texas 78735, U.S.A. (512) 895 8443 Erwin.Prinz@Freescale.com ABSTRACT Silicon technology

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts Hardware/Software Introduction Chapter 5 Memory Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 1 2 Introduction Memory:

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Chapter 5 Memory. Outline. Introduction

Embedded Systems Design: A Unified Hardware/Software Introduction. Chapter 5 Memory. Outline. Introduction Hardware/Software Introduction Chapter 5 Memory 1 Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 2 Introduction Embedded

More information

Lecture (1) Introduction to FPGA. 1. The History of Programmable Logic

Lecture (1) Introduction to FPGA. 1. The History of Programmable Logic Lecture (1) Introduction to FPGA 1. The History of Programmable Logic By the late 1970s, standard logic devices were all the rage, and printed circuit boards were loaded with them. Then someone asked,

More information

Embedded 28-nm Charge-Trap NVM Technology

Embedded 28-nm Charge-Trap NVM Technology Embedded 28-nm Charge-Trap NVM Technology Igor Kouznetsov Santa Clara, CA 1 Outline Embedded NVM applications Charge-trap NVM at Cypress Scaling Key Flash macro specs 28-nm Flash memory reliability Conclusions

More information

Switching Theory And Logic Design UNIT-V PROGRAMMABLE DEVICES

Switching Theory And Logic Design UNIT-V PROGRAMMABLE DEVICES Switching Theory And Logic Design UNIT-V PROGRAMMABLE DEVICES OVERVIEW Read only memory devices are a special case of memory where, in normal system operation, the memory is read but not changed. Read

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a International Journal of Current Engineering and Technology ISSN 2277 4106 2013 INPRESSCO. All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Dual Port SRAM Rajeshwari

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Fujitsu Semiconductor s development team focused on forming FLOTOX using only low-temperature processes.

Fujitsu Semiconductor s development team focused on forming FLOTOX using only low-temperature processes. Fujitsu Semiconductor Successfully Embeds Flash Memory onto DDC Technology Further expands scope of the Mie Plant s process technology for low-power devices Yokohama, Japan, December 10, 2013 Fujitsu Semiconductor

More information

Semiconductor Memories: RAMs and ROMs

Semiconductor Memories: RAMs and ROMs Semiconductor Memories: RAMs and ROMs Lesson Objectives: In this lesson you will be introduced to: Different memory devices like, RAM, ROM, PROM, EPROM, EEPROM, etc. Different terms like: read, write,

More information

NAND Flash: Where we are, where are we going?

NAND Flash: Where we are, where are we going? NAND Flash: Where we are, where are we going? Pranav Kalavade Intel Corporation Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary Cell Size [um

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information