An ADI Customer Newsletter

Size: px
Start display at page:

Download "An ADI Customer Newsletter"

Transcription

1 ADI APPLIED DYNAMICS INTERNATIONAL AD-LIB A ADI Customer Newsletter Volume 17, Number 1, Fall 2001 Rolls-Royce plc -- Selects ADI Equipmet to to Test Their Next Geeratio of of Full Authority Digital Egie Cotrollers (FADECs). ADIUS 2002 Chose Site Site - Colorado - Highlights from ADIUS 2001 R olls-royce plc of Derby, UK, has placed a $3.5 millio order for ADI s product suite of real-time emulators to develop ad test the cotrol systems for their ext geeratio of Full Authority Digital Egie Cotrollers (FADECs). Joh McItosh, ADI s Presidet ad CEO, said, Defiig the optimum techological solutio has bee a collaborative effort betwee Rolls-Royce ad ADI. This cotract, i developmet for over a year, ADI s Real-Time Test Emulator Systems are based o commercialoff-the-shelf computer techology that has bee adapted to meet the challegig Rolls-Royce test eviromet. With 44 years of idustry experiece, ADI has bee repeatedly recogized for its expertise ad customer resposiveess ad has very recetly supplied large emulator systems with advaced capability to Boeig Satellite Systems, Uited Defese LP ad a major US automotive OEM. FADECs are regarded as safety-critical systems by the Federal Aviatio Authority ad other world aviatio orgaizatios. The safety-critical desigatio requires extesive testig, validatio ad certificatio prior to achievig flight status. To achieve the prescribed level of testig ad validatio requiremets, ew test systems usig the latest techology are required for qualificatio ad productio. preseted umerous techical challeges. As a result, we are makig a small icrease i the existig techical staff at our A Arbor Headquarters. He added, At least oce every five secods a plae takes off somewhere i the world that has beefited from the use of ADI s tools durig its desig ad test phases. We are proud ad hoored to be selected by Rolls-Royce to play a vital role i this idustry.

2 I this issue... ADI Wis Roll-Royce Cotract... Cover Our New Website... Page 2 Trivia ad History... Page 2 SIMsystem V7.0 Released... Page 3 SIMsystem Ehacemets... Page 4 BEACON Ehacemets... Page 5 COM Automatio for the DAS... Page 6 People o the Move... Page 7 Commercial Release of AUTT... Page 8 Techical Traiig Schedule Page 9 Trade Show ad Coferece Schedule Page 10 ADIUS Meet the Board... Page 11 ADIUS 2001 Highlights... Page 11 Awards Preseted to the Slafers... Page 12 Our New Website Come visit our ew website at for the latest ews ad product iformatio. Your commets ad suggestios are very welcome at: webmaster@adi.com. Trivia ad History Let s Remiisce. I the late fifties ADI aouced the AD-1 aalog computer; the the AD-2 arrived i These were low-cost computers, with the smaller versios cosidered desk top. May of their applicatios were biomedical. Oe was used i the Waye State Receivig Hospital to simulate respiratory ailmets, ad aother was used at the Kresge Eye Istitute to predict glaucoma. The Uiversity of Michiga Medical College used oe to aalyze brai waves. I 1963 ADI itroduced the AD-256 (with 256 amplifiers) ad claimed that it was the world s fastest computer. It would ot be mistake for a AD-1 or AD-2, sice it was 24 feet log! Appearace was a cosideratio for such a large piece of furiture, ad ADI provided stylig service available to customers eedig aid ivolvig arragemet, accessory selectio or color harmoy suggestios. Amog the first sales were three AD-256 systems for the Boeig Simulatio Ceter i Hutsville, Alabama for $700,000. That amouts to over 4 millio of today s dollars! The three AD-256s, oe IBM7044 ad oe IBM7094 made the Simulatio Ceter the largest hybrid simulatio system i the world. 2

3 SIMsystem V7.0 Released Desiged to sigificatly simplify the simulatio ad testig of cotrol systems Key ehacemets iclude: Visual Iteract Visual Iteract COM iterface Data Acquisitio COM iterface Software Triggers Schedule Ehacemets Data Dictioary Compoud Logical Devices Save/Restore Files Rutime Project File CE-555 board Host ADvatage Itegrated Developmet Eviromet Hardware Cofiguratio Model Itegratio ANSI C Simulik FORTRAN-77 SystemBuild Multi-Processor Allocatio Ru-Time Eviromet TCP/IP Lik Cross- Compiled Real-Time Executables AD RTS/Target Real-Time Executive (aokeral) III/0 Device Drivers ad Basic Support Libraries Thread Libraries Visual Iteract Visual Iteract is iteded to ultimately replace COSIM Iteract o the PC, but for ow the two are used i tadem. A importat feature of Visual Iteract is the COM automatio iterface, which allows Visual Basic scriptig. Users ew to SIMsystem will ot eed to lear ADI s ow scriptig laguage (straightforward though it may be), but ca istead use the rich, well documeted, ad cotiually developig Visual Basic laguage. Visual Iteract has a Widows style GUI to facilitate operatio. The GUI was overhauled to make iteract operatios easier ad more powerful. Visual Iteract COM Iterface A powerful ew feature i SIMsystem for Widows is the additio of a COM automatio iterface. The COM iterface allows custom applicatios to be built that itegrate Visual Iteract Real-Time simulatios with COTS ActiveX products. I additio, low-cost, high- fuctioality software applicatios such as Excel, Access, Matlab, ad Labview, all possessig a COM iterface, ca be seamlessly itegrated with ADI s Real-Time simulatio system. Visual Iteract COSAPI COM Iterface Data Acquisitio System (DAS) SIMplotter Altia Simulatio Cotrol, Data Loggig ad Visualizatio User Applicatio Real-time simulatios ca be ru exterally from applicatios such as Access ad Excel. Buttos placed i Access forms or o Excel spreadsheets ca be used to automate repetitive test sequeces. Excel spreadsheet fuctioality ca be used to log ad process ed-of-ru data ad adjust simulatio parameters from ru to ru. A Access database ca be used to automatically set up simulatios, cotrol parameters, ad collect data for later aalysis or geerated reports. The additio of a COM Iterface further exteds SIMsystem s ope stadards philosophy. We believe that ope stadards will exted the life spa of a simulatio cofiguratio ad reduce the cost of upgrade ad developmet. Cotiued o ext page 3

4 SIMsystem V7.0 cotiued from page 3 Data Acquisitio COM Iterface The DAS stream has its ow COM iterface. This allows coectios to the DAS stream from remote locatios ad from a variety of software packages. Software Triggers Activities ca ow be triggered by hardware iterrupts, usig Boolea expressios of program variables. Te triggers are available per project. Triggers may be latched (oce set they remai set) or ulatched (reevaluated each frame). Triggers ca be used to cotrol evets withi a model, or to modify hardware performace, or to cotrol the operatio of DAS streams ad schedule groups. Triggers may be set ad cleared iteractively usig either COSIM iteract or Visual Iteract. Note that these triggers are differet from the subsystem triggers that SIMsystem also supports. I Simulik, SystemBuild or user code, a hardware iterrupt trigger, occurrig aytime durig a simulatio frame, ca eable a model or I/O evet. I cotrast, the software triggers are set i Iteract, ad the trigger coditios are checked oce each simulatio frame. Schedule Ehacemets The rutime software waveform geeratio feature was itroduced with SIMsystem 061. It creates schedules that are ru o the RTS with the models. This popular feature ca be used to supply iputs ito a simulatio model, or to sed waveforms out to hardware. With SIMsystem 7.0, the schedule capability has bee greatly ehaced. Schedules ca ow be additive, for buildig complex forms. For example, a ramp iput could be assiged to a variable, ad a high-frequecy, small-amplitude sie added to it, to simulate sigal oise. The idepedet variable does ot have to be time, istead you ca chose a model variable. For example, i a vehicle simulatio a road elevatio schedule could be a fuctio of the computed distace that the vehicle has traveled i the forward directio. Multiple schedules ca ow be grouped, for easier maipulatio. A group of schedules ca be deleted with a sigle commad, or eabled with a sigle trigger. The waveforms that are supported are: Costat Ramp Sawtooth Siusoidal Square Triagular XDR file playback Program Variable User-Defied (Requires C Code i Model) Compoud Logical Devices SIMsystem ow supports Compoud Logical Devices. Compoud Logical Devices combie logical devices for multiple hardware devices. I some applicatios a group of devices work itegrally together, ad the logical device developmet most aturally treats them as a group. Data Dictioary The Data Dictioary defies the program variables of iterest. A default data dictioary will be automatically created by ADvatage, which ca be overwritte by a user-created dictioary. The variables ca be grouped, for improved maagemet. Costraits ca be imposed upo variables, such as read oly, or iitializatio writeable. Setup Save/Restore Files A ASCII file ca be created to save ad restore iteractive setup iformatio: Iitial Coditios Data Capture Lists for the 4 DAS Streams Ed-of-Ru Data Capture List Trigger Expressios Dyamic Evet Schedule Groups Cotiued o page 10 4

5 New Product Alert Graphical Desig Dictioary Editor compaio tool ow available with BEACON for Simulik ADI s ew Graphical Desig Dictioary (GDD) Editor is the perfect compaio to our BEACON for Simulik tool! Fially, a user-friedly graphical iterface is available to automate the process of costructig data dictioary files for use with BEACON for Simulik s Desiger ad Tester tools. This all-ew tool was writte i Java. It promises to be a tremedous productivity ehacemet for ayoe usig BEACON for Simulik to geerate high-itegrity source code ad uit tests from their Simulik algorithm models. Embedded Software Process Support Semiars Developig high itegrity embedded software ivolves complex procedures, with checks ad balaces at every step to esure the highest quality ad utmost safety stadards are achieved i the ed product. No software developmet or verificatio tool ca offer a tur-key solutio for improvig the process, although tools such as ADI s BEACON ad BEACON for Simulik are well suited for use i safety ad missio critical software developmet eviromets. There is always some level of ucertaity how best to use such a tool, however, ad aswerig that questio must be a priority i the adoptio of a tool set. ADI ow offers two separate oe-day traiig semiars to help our BEACON ad BEACON for Simulik customers take full advatage of the process support features provided by our tools. The two semiars are etitled: Software Developmet Process Maagemet Usig BEACON ad Software Developmet Process Maagemet Usig BEACON for Simulik. The semiars focus o the stadard V developmet model. The BEACON-based variat specifically addresses specificatio-based developmet ad the BEACON for Simulik variat specifically addresses model-based developmet of high-itegrity embedded cotrol software. Both semiars are desiged as iteractive sessios, where you ad ADI examie a stadard developmet model, cotrast the stadard model with your existig model, ad evaluate how best to itegrate ADI s tools ito your existig developmet process to maximize the beefits of usig BEACON or BEACON for Simulik. Offered as o-site traiig classes, these semiars preset a uique opportuity for collaboratio betwee ADI ad our customers to achieve ultimate success i usig BEACON or BEACON for Simulik to icrease embedded software developmet productivity ad decrease software developmet life-cycle costs ad duratio. For further detail, cotact your ADI sales represetative or dowload a traiig data sheet at: (for the specificatio-based variat) or (for the model-based variat). Embedded Software Process Support Papers Available ADI is pleased to aouce the availability of two ew process-orieted papers writte for users ad prospective users of the BEACON ad BEACON for Simulik tool sets. Focusig o the basic V developmet process usig both a specificatio-based ad model-based paradigm, these papers preset details o how ADI s Embedded Software Developmet tools assist the user i satisfyig the objectives of a rigorous ad safety-coscious developmet process. The specificatio-based paper is available at: The model-based paper is available at: 5

6 ADI Author: Scott James COM Automatio for the DAS A goal for the developmet of SIMsystem for NT applicatios has bee to take advatage of powerful Widows features. As a result, SIMsystem Versio 7.0 icludes a Compoet Object Model (COM) automatio iterface for the Data Acquisitio System (DAS). Users familiar with the COM iterface to Visual Iteract (SIMsystem s ew Widows based ru-time applicatio) will be familiar with the advatages of COM. COM is the most widely used compoet software model i the world. It provides the richest set of itegrated services, the widest choice of easy-to-use tools, ad the largest set of available applicatios. Addig a COM automatio iterface to the DAS greatly ehaces the ability for users to itegrate SIMsystem real-time simulatios ito customized test automatio ad visualizatio. SIMsystem s DAS allows users to coect to ruig simulatios ad have access to the logged data streams. Covetioal uses for the DAS have bee sedig data to SIMplotter, Altia, ad SIMpael. However, with the additio of a COM automatio iterface, the DAS ow makes it easy for users to sed streamig simulatio data to stadard Widows applicatios such as Word, Excel, Access ad others, or to develop Visual Basic executables able to coect to the DAS ad utilize the streamig data. The DAS COM also allows users to collect DAS data from across the Etheret. This eables automatio ad visualizatio tasks to be spread across several PCs. A key advatage of the COM iterface is its ease of use. Coectig to a DAS stream requires very little code; it ca be writte i Visual Basic, either i a developmet studio or usig VB as the stadard Widows macro laguage. Alteratively, the DAS COM iterface ca be tightly itegrated ito software systems usig C++ or Java. Private mydas As New DasAccessCotrol Private dasvars As DasVariables Private mysimvar As DasVariable Private Sub Coect_To_DAS() mydas.coect HostName:= localhost Set dasvars = mydas.dasvariables Set mysimvar = dasvars( mymodel.adi_global_c::adi_elapsed_time ) Ed Sub Private Sub Update_Vars() MyDas.GetFrame debug.prit mysimvar.value Ed Sub The above sample VB code subrouties demostrate how easy it is to add DAS coectivity to a VB applicatio or a Excel macro. The subroutie Coect_To_DAS() requires oly three lies of code to make a coectio to a ruig DAS stream ad assig the properties of the SIMsystem variable ADI_ELAPSED_TIME to mysimvar. Oce a VB variable (defied as a DasVariable) has bee assiged to a variable o the DAS stream, the VB variable will have the properties of the DAS variable icludig the value. The subroutie Update_Vars() demostrates how to get the most recet values from the DAS. The Cotiued o ext page 6

7 COM Automatio for DAS cotiued from page 6 GetFrame commad causes all VB variables assiged to a DAS variable to acquire the most recet values from the DAS. I the example above, mysimvar is the oly DAS variable ad as a result will be the oly variable to be updated. The variable mysimvar ca be used i a VB executable or widows macro like ay other VB variable. The debug.prit commad causes the value of mysimvar to be prited to the immediate widow for debuggig. I additio, the COM structure allows users to seamlessly icorporate ActiveX compoets to the SIMsystem realtime simulatio eviromet. ActiveX examples iclude 3D aimatio usig 3Dlix, visualizatio ad cotrol with Natioal Istrumet s Compoet Works, ad coutless other libraries of available tools. The DAS COM has added sigificat fuctioality to SIMsystem. Ultimately, the additio of COM automatio to the SIMsystem product lie exteds the lifespa of the system cofiguratio ad reduces the cost of software system ehacemet. People o the Move Tim Atha has bee promoted to Seior Applicatios Egieer. Dr. Atha came to ADI five years ago after workig i the automotive idustry for twelve years. Will this qualify me for a Seior discout o the bus? A Arbor Mayor Joh Hieftje recetly appoited him to the city s Eergy Commissio. Beth Apple joied ADI to work o product documetatio ad our web site. She ejoys developig ew documetatio solutios ad looks forward to covertig the documetatio to HTML. Though she ca t take all of the credit for the ew look o our website, she ca take all your commets, remarks ad requests for correctios at webmaster@adi.com Ke Huffma joied the US sales team as Easter Regioal Sales Maager. He spet a umber of years i sales with Cocurret ad others developig a extesive kowledge of real time applicatios. His i-depth experiece will beefit the future ad existig ADI clietele i the easter regio. Rick Schlosser has moved withi ADI from Supervisor of Support Services to Cetral Regio Accout Maager. May of you have experieced Rick s dedicatio to workig with people over the years i resolvig techical hardware issues related to ADI products. Rick s itimate kowledge of cliets ad ADI equipmet will serve him well i his ew edeavor. Paul Schukow After beig away from ADI for twelve years, he is back i Egieerig workig o documetatio cotrol. Paul has over 20 years experiece i desig ad draftig. Jim Farrell is very welcome to our team of BEACON developers. He has a log associatio with BEACON, predatig ADI s ivolvemet with the tool. Jim is curretly begiig work o the ext geeratio of the BEACON user iterface, takig maximum advatage of his expertise i graphics programmig ad his kowledge of how BEACON works. 7

8 Commercial Release of AUTT ad Tester ADI has commercially released versio 1.0 of the BEACON Automatic Uit Test Tool (AUTT) ad its BEACON for Simulik couterpart, Tester. The AUTT was officially released commercially i Jauary It is a first-of-its kid tool for the automated detectio of structural test requiremets at the uit level ad the creatio of test cases that cover those test requiremets. The sigificat improvemets made i this commercial versio iclude the followig: Iliig of iteral subrouties for test case geeratio Ehaced coverage summary table Numeric robustess testig Ehaced Boudary Value Aalysis (BVA) coverage Ehaced customizatio of test file formattig Detectio of out-of-bouds array idex refereces A Brief History of BEACON ADI s BEACON ad BEACON for Simulik tools stad apart as strog embedded software desig packages for safety ad missio-critical cotrol systems. Classical BEACON s te-plus year history of use o commercial avioics software is a uparalleled record of maturity for a software desig ad code geeratio tool. Oe frequetly asked questio about the tool is its origi. Classical BEACON is a outgrowth of a i-house software developmet tool that traslated graphical diagrams ito modular code. Autocode was a iteral product of Geeral Electric, developed at their Johso City, New York, Cotrol Systems facility. I the late 1980s a decisio was made to replace the old graphical iterface (based o a drawig program called DOGS although the meaig of this acroym has faded with time) with a more moder versio. I cojuctio with the ew frot ed, the code geerators were redeveloped i a more moder laguage, movig from the origial FORTRAN to Ada. After several years of successful use o various commercial ad military jet egie cotrols, GE developed a ew GUI for the tool, addig coloratio ad other features based o the capabilities of a commercially available graphical drawig system from DataViews. ADI purchased ad commercialized the BEACON software from GE about four years ago, startig off with the ewly developed GUI ad the highly mature code geerators. The first major project usig BEACON from start to fiish was the GE90 commercial jet egie, used o the Boeig 777 aircraft. This variable-thrust egie was a clea-sheet developmet by GE s Aircraft Egies (GEAE), divisio ad was fouded o the productivity ehacemets offered by BEACON. GEAE also has employed BEACON o almost every jet ad turbofa egie beig developed at its Ly, Massachusetts, facility. Oe of the differetiatig features that ehaces BEACON s applicability to the safety-critical embedded software market is the fact that it is a tool desiged by ad for embedded software egieers. It utilizes the best practices gleaed from decades of collective experiece o its developmet staff s part. ADI has further ehaced BEACON by addig commercial tool developmet expertise, while still remaiig true to its embedded software roots. ADI also fiished the developmet of the AUTT (Automated Uit Test Tool), culmiatig i its maide commercial release i Jauary I 1999, ADI itroduced a compaio product that combies the uique stregth of BEACON's sophisticated code geerators with the model-based algorithm desig capabilities of Simulik. BEACON for Simulik is more tha a marriage of two tools, however. It represets the bridgig of safety-coscious software desig techiques ito the model-based system desig world. With the icorporatio of the AUTT ito BEACON for Simulik uder the ame of Tester, ADI has also brought a slice of the structured software testig paradigm to life withi the model-based system desig area. 8

9 2001 Techical Traiig Courses ADI techical traiig courses are ope to ayoe wishig to gai a better uderstadig of ad practical hads o experiece with ADI product solutios. Traiig classes are held at ADI headquarters i A Arbor, Michiga. For your coveiece, i-plat classes ca be scheduled at your facility. To register for a class, call Darlee Mori at ADI, (734) ext You may also register by at adifo@adi.com or olie at INTRODUCTION TO BEACON 2 Day October December This course is for cotrol ad software egieers who pla to use BEACON at a project level to develop software for embedded applicatios. The topics covered iclude: Hierarchical cotrol ad sigal flow diagram creatio Fixed ad floatig poit code geeratio Test vector geeratio Advaced features allow iterfacig to existig code through libraries, ad target-specific implemetatio. SIMSYSTEM USERS' COURSE 2 1/2 Day October December This course is iteded to provide a broad ad strog base for usig SIMsystem. Studets will lear about: High-speed ad hardware-i-the-loop simulatio methods Modelig eviromets ad their coectio to the ADvatage GUI Hardware devices ad how they are icorporated ito a project. Data visualizatio tools Project maagemet Simulatio automatio usig scripts Iteract customizatio Code debuggig The SIMsystem Users Course is ope to both customers ad potetial customers. It is desiged for both Uix ad Widows systems. Widows users should i additio take Visual Iteract I (see below) ad possibly the other Visual Iteract classes. SIMsystem for Widows Ru-Time Course Pack Versio 7.0 of SIMsystem for Widows icludes a array of ew features for creatig high-quality, itegrated developmet solutios. VISUAL INTERACT I: Itroductio ½ Day (Immediately follows Users Course) Visual Iteract is SIMsystem s ru-time operator iterface. Visual Iteract is used to cofigure simulatios, establish test procedures ad ru simulatios with a operator i the loop or from automatio scripts. Topics iclude: Simulatio cofiguratio files Workig with data dictioaries Developig schedules ad triggers VISUAL INTERACT II: COM Automatio ½ Day Visual Iteract possesses a Compoet Object Model (COM) automatio iterface. This simplifies tasks such as test automatio, ad itegratio to other COM applicatios. Visual Basic (VB) is the scriptig laguage for Visual Iteract. Topics iclude: Itroductio to VB as a scriptig laguage Workig with a COM automatio iterface Cotrollig simulatios from exteral programs VISUAL INTERACT III: ½ Day Advaced COM Automatio The COM automatio iterface allows the cotrol of simulatios from VB executables or from other COM eabled applicatios such as Excel or Access. Topics iclude: Developig simple VB executables to automate repetitive simulatio tasks Cotrollig multi-ru simulatio testig from Excel Itegratig ActiveX compoets ito automatio executables (such as 3Dlix for real-time threedimesioal graphics) The material covered will apply ot oly to SIMsystem usage but ca also be applied to the advaced use of applicatios such as Excel, Access, Matlab. ADSIM PROGRAMMING 2 Day Available upo request Writig models i ADSIM Simulatio executives, specifically "cotiuous" ADSIM i the ADvatage IDE eviromet Prerequisite - The SIMsystem Users' course. CUSTOMIZED TRAINING Miimum 1/2 Day Customized courses are held at ADI headquarters. They are available for users requirig cofidetial or applicatiospecific traiig. There is o miimum class requiremet for customized traiig courses. The possibilities iclude: Advaced Iteract Features, Specific Hardware Devices, Advaced Logical Devices, Optimizatio usig SIMsystem, Moderizig Legacy ADSIM Code, Fault Isertio, ad the Altia Desig Editor. 9

10 SIMsystem Cotiued from page 4 A setup file that is refereced i a project file will be ru automatically. Alteratively, a setup file may be ivoked iteractively from either COSIM Iteract or Visual Iteract. The setup file is saved from either Iteract, after setup operatios have bee coducted. (If saved i Visual Iteract, it is saved i a setup file format, while if saved i COSIM Iteract it ca be saved either i setup file format or as a script file.) All Put operatios are icluded i the setup file, eablig repeated use of a iitial coditio set-up. Rutime Project File This feature ehaces program maagemet, by combiig the potetially complex project set-up iformatio i oe file. ADvatage automatically geerates this file, which records the RTS, the program, ad the set-up files. With this file, COSIM Iteract ca thereafter be started with a project_load commad. This file is used as the Visual Iteract s simulatio object. CE-555 Precisio Prototypig Processor The CE-555 board is the first SIMsystem target processor. ADI took the versatile ad powerful ew Motorola MPC555 PowerPC processor chip ad built it ito a board that ca ru iside a RTS. This meas that code iteded for the MPC555 chip ca be ru o its actual target. Rapid prototypig for other, similar embedded cotroller chips will use the CE-555 too. Use of a target processor i rapid prototypig elimiates surprises late i the developmet cycle due to differeces betwee a developmet processor ad the target differeces such as clock speed, istructio set, I/O timig ad accuracy, ad fault detectio. Code developmet will beefit from SIMsystem s cotrol ad aalysis capabilities. For example, code ca be debugged ad timed. Eve users ot plaig to work with the MPC555 will fid that the CE-555 offers great flexibility. The CE- 555 cotais two boards: a CPU board ad a I/O Sigal Coditioig Board. The CPU board cotais the MPC555 processor plus the additioal memories ad access electroics to cofigure the processor as a stadard ADI compute egie. The I/O sigal coditioig board allows the itegral I/O of the MPC555 to be coected uder software cotrol to a variety of drivers, receivers, ad other sigal coditioig circuitry. This itelliget I/O is easily cofigured for a rage of cotroller applicatios, without cablig chages. Trade Show ad Coferece Schedule ADI is participatig i the followig trade cofereces i the upcomig moths. We look forward to seeig you at these evets. Embedded Systems Coferece Sept. 5-7 Bosto, MA Embedded Systems October 9-11 Stutgart, Germay SAE 2002 March 4-7 Detroit, MI Embedded Systems Coferece 2002 March Fracisco, CA ADIUS 2002 Jue Colorado 10

11 What is ADIUS Applied Dyamics Iteratioal Users Society Aual Meetig is oe of the foremost meetigs o dyamic simulatio, cotrol system ad embedded software developmet (ESD) tools. ADIUS provides a uique opportuity for curret users to become more proficiet with ADI s growig family of ADvatage simulatio ad ESD products. ADI s SIMsystem product lie for powerful hardware-i-the-loop (HIL) ad BEACON for embedded code geeratio tools are solutios for automotive ad aerospace applicatios. Those ew to HIL simulatio ad ESD will lear how implemetatio of this techology ca beefit their compay or orgaizatio. Coferece sessios feature ADI hardware ad software users sharig their experieces ad expertise i solvig simulatio ad cotrol system problems. ADI staff members coduct product ad methodology semiars complete with hads-o product demostratios. ADIUS 2001 was a success. There were some ispirig presetatios as well as great food ad socializig. For more iformatio, check out our website, ask a represetative about the presetatios ad receive a CD of our Proceedigs. Meet our ADIUS Board Members Frot Row from left to right: Jeff Davis ad Bob Koch. Back Row: David Shipcott, Geoff Radmore, Greg Butterfield. Not Pictured: Patrick Grat ad Tarek Lahdhiri ADIUS 2001, the 22d meetig of the ADI Users Society The 22d aual ADIUS coferece was held i A Arbor, Michiga Jue At the coferece ADI users were afforded the opportuity to preset their implemetatios, theories ad successes i cojuctio with ADI's embedded cotrol systems desig tools. Keyote speaker Lore Slafer (below) discusses the role of the ADI RTS i satellite cotrol systems. Boeig Satellite System has over 50% of the operatioal satellites i space. William Ly's presetatio icluded the successful firig of the ew 60,000-poud thrust MC-1 rocket egie developed by NASA. The MC-1 egie simulatio software was developed o the ADI RTS. Duk Park discussed his method o how to save computatioal time ad computer storage o the RTS. At the ed of the day, users iteract with ADI staff to discuss the days' presetatios. We ivite you to share i your successes ad ideas at the 22d ADIUS, Jue 2002 i Colorado Sprigs, Colorado. Watch for iformatio regardig the Call for Papers o the web at ad i future AD-LIBs. Keyote Speaker, Lore Slafer of Boeig Satellite System s (form erly Hug hes Space & Com) Preseters W illiam Ly of NASA ad Duk Park of Crae Hydro- Aire Attedees Jack Romao of NAW C, M arcella Hag h g ooie (back) ADI ad Jeff Davis of IEM 11

12 AD-LIB Applied Dyamics' Latest Iformatio Bulleti Volume 17, Number 1, Fall 2001 Published by Applied Dyamics Iteratioal, Ic. 2001, all rights reserved. Products ad trademarks metioed i this issue are the properties of their respective compaies. ADI is a registered trademark of Applied Dyamics Iteratioal, Ic. AD-LIB, AD RTS, ADSIM, ADvatage, Applied Dyamics Real-Time Statio, BEACON, BEACON for Simulik, CE-3, CE-555, COP, COSIM, DAS, SIMplotter, SIMsystem, are trademarks of Applied Dyamics Iteratioal. All trademarks metioed are the properties of their respective etities. Simulik is a registered trademark of The MathWorks, Ic. SystemBuild ad Autocode are trademarks of Itegrated Systems, Ic. Applied Dyamics Iteratioal Europea Headquarters 1450 Motagu Court Ketterig Veture Park, Ketterig Northamptoshire NN15 6XR (UK) Telephoe: 44-(0) Facsimile: 44-(0) adifo@appdy.co.uk Applied Dyamics Iteratioal, Ic Stoe School Road A Arbor, Michiga (USA) Telephoe: (734) Facsimile: (734) adifo@adi.com Special Awards preseted to Alexis ad Lore Slafer at ADIUS 2001 Lore Slafer was recogized for his may years of dedicatio to the advacemet ad support of computer simulatio techology. Alexis Slafer was awarded the Hoorary Title of Doctor of Simulatio Techology for her 16 plus years of attedace ad support at ADIUS Meetigs. The awards were preseted at the 2001 ADIUS Coferece i A Arbor, MI. Come Joi us at ADIUS 2002 i the Colorado moutais. Mark your caledar for the first week i Jue We are iterested i hearig about your ADI product implemetatios. If you would like to share your accomplishmets, we would like you to preset at the ext ADIUS. We are also iterested i your iput. Feel free to give Bob Koch a call at : or koch@adi.com Ke Waichuas (far left) ad Dr. Robert Howe (far right) preset ADIUS Awards to Lore ad Alexis Slafer. ADI APPLIED DYNAMICS INTERNATIONAL 3800 Stoe School Road A Arbor, Michiga (USA) FIRST CLASS MAIL U.S. Postage Paid Permit No. 87 A Arbor, Michiga Address Correctio Requested 12

1 Enterprise Modeler

1 Enterprise Modeler 1 Eterprise Modeler Itroductio I BaaERP, a Busiess Cotrol Model ad a Eterprise Structure Model for multi-site cofiguratios are itroduced. Eterprise Structure Model Busiess Cotrol Models Busiess Fuctio

More information

Customer Portal Quick Reference User Guide

Customer Portal Quick Reference User Guide Customer Portal Quick Referece User Guide Overview This user guide is iteded for FM Approvals customers usig the Approval Iformatio Maagemet (AIM) customer portal to track their active projects. AIM is

More information

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design College of Computer ad Iformatio Scieces Departmet of Computer Sciece CSC 220: Computer Orgaizatio Uit 11 Basic Computer Orgaizatio ad Desig 1 For the rest of the semester, we ll focus o computer architecture:

More information

BE Software Upgrades to ITALYCS 5. It s in the. Software

BE Software Upgrades to ITALYCS 5. It s in the. Software BE Software Upgrades to ITALYCS 5 It s i the Software UPGRADES WE OFFER Brampto Egieerig is offerig customers with ITALYCS 2 ad ITALYCS 4 systems the opportuity to upgrade their existig systems to the

More information

One advantage that SONAR has over any other music-sequencing product I ve worked

One advantage that SONAR has over any other music-sequencing product I ve worked *gajedra* D:/Thomso_Learig_Projects/Garrigus_163132/z_productio/z_3B2_3D_files/Garrigus_163132_ch17.3d, 14/11/08/16:26:39, 16:26, page: 647 17 CAL 101 Oe advatage that SONAR has over ay other music-sequecig

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 1 Computers ad Programs 1 Objectives To uderstad the respective roles of hardware ad software i a computig system. To lear what computer scietists

More information

Service Oriented Enterprise Architecture and Service Oriented Enterprise

Service Oriented Enterprise Architecture and Service Oriented Enterprise Approved for Public Release Distributio Ulimited Case Number: 09-2786 The 23 rd Ope Group Eterprise Practitioers Coferece Service Orieted Eterprise ad Service Orieted Eterprise Ya Zhao, PhD Pricipal, MITRE

More information

Avid Interplay Bundle

Avid Interplay Bundle Avid Iterplay Budle Versio 2.5 Cofigurator ReadMe Overview This documet provides a overview of Iterplay Budle v2.5 ad describes how to ru the Iterplay Budle cofiguratio tool. Iterplay Budle v2.5 refers

More information

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 1 Itroductio to Computers ad C++ Programmig Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 1.1 Computer Systems 1.2 Programmig ad Problem Solvig 1.3 Itroductio to C++ 1.4 Testig

More information

COP4020 Programming Languages. Compilers and Interpreters Prof. Robert van Engelen

COP4020 Programming Languages. Compilers and Interpreters Prof. Robert van Engelen COP4020 mig Laguages Compilers ad Iterpreters Prof. Robert va Egele Overview Commo compiler ad iterpreter cofiguratios Virtual machies Itegrated developmet eviromets Compiler phases Lexical aalysis Sytax

More information

Isn t It Time You Got Faster, Quicker?

Isn t It Time You Got Faster, Quicker? Is t It Time You Got Faster, Quicker? AltiVec Techology At-a-Glace OVERVIEW Motorola s advaced AltiVec techology is desiged to eable host processors compatible with the PowerPC istructio-set architecture

More information

Data Warehousing. Paper

Data Warehousing. Paper Data Warehousig Paper 28-25 Implemetig a fiacial balace scorecard o top of SAP R/3, usig CFO Visio as iterface. Ida Carapelle & Sophie De Baets, SOLID Parters, Brussels, Belgium (EUROPE) ABSTRACT Fiacial

More information

Model Based Design: develpment of Electronic Systems

Model Based Design: develpment of Electronic Systems Model Based Desig: develpmet of Electroic Systems Stuttgart 16 Jue 2004 Ageda Model Based Desig: purposes ad process Model Based Desig: vehicle developmet process Tools Fuctioal Requiremets: Structure

More information

TargetLink. Installation Notes. MATLAB R14SP3 Compatibility Update for TargetLink 2.1

TargetLink. Installation Notes. MATLAB R14SP3 Compatibility Update for TargetLink 2.1 TargetLik Istallatio Notes MATLAB R14SP3 Compatibility Update for TargetLik 2.1 TargetLik 2.1.5 November 2005 ds How to Cotact dspace Mail: Tel.: Fax: E-mail: Web: Geeral Techical Support: TargetLik Support:

More information

n Explore virtualization concepts n Become familiar with cloud concepts

n Explore virtualization concepts n Become familiar with cloud concepts Chapter Objectives Explore virtualizatio cocepts Become familiar with cloud cocepts Chapter #15: Architecture ad Desig 2 Hypervisor Virtualizatio ad cloud services are becomig commo eterprise tools to

More information

Appendix D. Controller Implementation

Appendix D. Controller Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Appedix D Cotroller Implemetatio Cotroller Implemetatios Combiatioal logic (sigle-cycle); Fiite state machie (multi-cycle, pipelied);

More information

Web OS Switch Software

Web OS Switch Software Web OS Switch Software BBI Quick Guide Nortel Networks Part Number: 213164, Revisio A, July 2000 50 Great Oaks Boulevard Sa Jose, Califoria 95119 408-360-5500 Mai 408-360-5501 Fax www.orteletworks.com

More information

G2 T. Specification Sheet G2T-001 G2T Touchscreen Mainframes Accepts G2 Plug-in Modules Four Sizes: 2RU, 3RU, 6RU and 8RU

G2 T. Specification Sheet G2T-001 G2T Touchscreen Mainframes Accepts G2 Plug-in Modules Four Sizes: 2RU, 3RU, 6RU and 8RU G2 T Geeral The G2T Maiframes are part of our field-prove G2 family of products ad replaces the G2S maiframes. The mai differece is the all ew frot pael touchscree desig which replaces the older VF display

More information

SCAN INSPECT TRACK SOLVE

SCAN INSPECT TRACK SOLVE SCAN INSPECT TRACK SOLVE Sca. Ispect. Track. Solve. These simple words drive the complex solutios we provide for the challeges our customers face. Need to sca a code? Not a issue. Ispect a vial? No problem.

More information

Weston Anniversary Fund

Weston Anniversary Fund Westo Olie Applicatio Guide 2018 1 This guide is desiged to help charities applyig to the Westo to use our olie applicatio form. The Westo is ope to applicatios from 5th Jauary 2018 ad closes o 30th Jue

More information

System Overview. Hardware Concept. s Introduction to the Features of MicroAutoBox t

System Overview. Hardware Concept. s Introduction to the Features of MicroAutoBox t s Itroductio to the Features of MicroAutoBox t System Overview Objective Where to go from here dspace provides the MicroAutoBox i differet variats. This sectio gives you a overview o the MicroAutoBox's

More information

Bayesian approach to reliability modelling for a probability of failure on demand parameter

Bayesian approach to reliability modelling for a probability of failure on demand parameter Bayesia approach to reliability modellig for a probability of failure o demad parameter BÖRCSÖK J., SCHAEFER S. Departmet of Computer Architecture ad System Programmig Uiversity Kassel, Wilhelmshöher Allee

More information

. Written in factored form it is easy to see that the roots are 2, 2, i,

. Written in factored form it is easy to see that the roots are 2, 2, i, CMPS A Itroductio to Programmig Programmig Assigmet 4 I this assigmet you will write a java program that determies the real roots of a polyomial that lie withi a specified rage. Recall that the roots (or

More information

System and Software Architecture Description (SSAD)

System and Software Architecture Description (SSAD) System ad Software Architecture Descriptio (SSAD) Diabetes Health Platform Team #6 Jasmie Berry (Cliet) Veerav Naidu (Project Maager) Mukai Nog (Architect) Steve South (IV&V) Vijaya Prabhakara (Quality

More information

Evaluation scheme for Tracking in AMI

Evaluation scheme for Tracking in AMI A M I C o m m u i c a t i o A U G M E N T E D M U L T I - P A R T Y I N T E R A C T I O N http://www.amiproject.org/ Evaluatio scheme for Trackig i AMI S. Schreiber a D. Gatica-Perez b AMI WP4 Trackig:

More information

CS : Programming for Non-Majors, Summer 2007 Programming Project #3: Two Little Calculations Due by 12:00pm (noon) Wednesday June

CS : Programming for Non-Majors, Summer 2007 Programming Project #3: Two Little Calculations Due by 12:00pm (noon) Wednesday June CS 1313 010: Programmig for No-Majors, Summer 2007 Programmig Project #3: Two Little Calculatios Due by 12:00pm (oo) Wedesday Jue 27 2007 This third assigmet will give you experiece writig programs that

More information

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software Structurig Redudacy for Fault Tolerace CSE 598D: Fault Tolerat Software What do we wat to achieve? Versios Damage Assessmet Versio 1 Error Detectio Iputs Versio 2 Voter Outputs State Restoratio Cotiued

More information

Τεχνολογία Λογισμικού

Τεχνολογία Λογισμικού ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τεχνολογία Λογισμικού, 7ο/9ο εξάμηνο 2018-2019 Τεχνολογία Λογισμικού Ν.Παπασπύρου, Αν.Καθ. ΣΗΜΜΥ, ickie@softlab.tua,gr

More information

Basic allocator mechanisms The course that gives CMU its Zip! Memory Management II: Dynamic Storage Allocation Mar 6, 2000.

Basic allocator mechanisms The course that gives CMU its Zip! Memory Management II: Dynamic Storage Allocation Mar 6, 2000. 5-23 The course that gives CM its Zip Memory Maagemet II: Dyamic Storage Allocatio Mar 6, 2000 Topics Segregated lists Buddy system Garbage collectio Mark ad Sweep Copyig eferece coutig Basic allocator

More information

Task scenarios Outline. Scenarios in Knowledge Extraction. Proposed Framework for Scenario to Design Diagram Transformation

Task scenarios Outline. Scenarios in Knowledge Extraction. Proposed Framework for Scenario to Design Diagram Transformation 6-0-0 Kowledge Trasformatio from Task Scearios to View-based Desig Diagrams Nima Dezhkam Kamra Sartipi {dezhka, sartipi}@mcmaster.ca Departmet of Computig ad Software McMaster Uiversity CANADA SEKE 08

More information

JavaFX. JavaFX 2.2 Installation Guide Release 2.2 E August 2012 Installation instructions by operating system for JavaFX 2.

JavaFX. JavaFX 2.2 Installation Guide Release 2.2 E August 2012 Installation instructions by operating system for JavaFX 2. JavaFX JavaFX 2.2 Istallatio Guide Release 2.2 E20474-06 August 2012 Istallatio istructios by operatig system for JavaFX 2.2 JavaFX/JavaFX 2.2 Istallatio Guide E20474-06 Copyright 2008, 2012, Oracle ad/or

More information

BAAN IVc/BaanERP. Conversion Guide Oracle7 to Oracle8

BAAN IVc/BaanERP. Conversion Guide Oracle7 to Oracle8 BAAN IVc/BaaERP A publicatio of: Baa Developmet B.V. P.O.Box 143 3770 AC Bareveld The Netherlads Prited i the Netherlads Baa Developmet B.V. 1999. All rights reserved. The iformatio i this documet is subject

More information

STRATEGIC. alliances & Services

STRATEGIC. alliances & Services STRATEGIC alliaces & Services Chesterto is a leadig iteratioal maufacturer of idustrial fluid sealig systems, advaced polymer composites, cleaers, lubricats ad idustrial speciality products. Sice 1884

More information

MOTIF XF Extension Owner s Manual

MOTIF XF Extension Owner s Manual MOTIF XF Extesio Ower s Maual Table of Cotets About MOTIF XF Extesio...2 What Extesio ca do...2 Auto settig of Audio Driver... 2 Auto settigs of Remote Device... 2 Project templates with Iput/ Output Bus

More information

GE FUNDAMENTALS OF COMPUTING AND PROGRAMMING UNIT III

GE FUNDAMENTALS OF COMPUTING AND PROGRAMMING UNIT III GE2112 - FUNDAMENTALS OF COMPUTING AND PROGRAMMING UNIT III PROBLEM SOLVING AND OFFICE APPLICATION SOFTWARE Plaig the Computer Program Purpose Algorithm Flow Charts Pseudocode -Applicatio Software Packages-

More information

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON Roberto Lopez ad Eugeio Oñate Iteratioal Ceter for Numerical Methods i Egieerig (CIMNE) Edificio C1, Gra Capitá s/, 08034 Barceloa, Spai ABSTRACT I this work

More information

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method A ew Morphological 3D Shape Decompositio: Grayscale Iterframe Iterpolatio Method D.. Vizireau Politehica Uiversity Bucharest, Romaia ae@comm.pub.ro R. M. Udrea Politehica Uiversity Bucharest, Romaia mihea@comm.pub.ro

More information

n Learn how resiliency strategies reduce risk n Discover automation strategies to reduce risk

n Learn how resiliency strategies reduce risk n Discover automation strategies to reduce risk Chapter Objectives Lear how resiliecy strategies reduce risk Discover automatio strategies to reduce risk Chapter #16: Architecture ad Desig Resiliecy ad Automatio Strategies 2 Automatio/Scriptig Resiliet

More information

Lecture 1: Introduction

Lecture 1: Introduction Lecture 1: Itroductio g Class orgaizatio Istructor cotact Course objectives ad outcomes Lectures outlie Laboratory outlie Gradig system Tetative schedule g Lab schedule g Itelliget sesor systems (ISS)

More information

Panel for Adobe Premiere Pro CC Partner Solution

Panel for Adobe Premiere Pro CC Partner Solution Pael for Adobe Premiere Pro CC Itegratio for more efficiecy The makes video editig simple, fast ad coveiet. The itegrated pael gives users immediate access to all medialoopster features iside Adobe Premiere

More information

Term Project Report. This component works to detect gesture from the patient as a sign of emergency message and send it to the emergency manager.

Term Project Report. This component works to detect gesture from the patient as a sign of emergency message and send it to the emergency manager. CS2310 Fial Project Loghao Li Term Project Report Itroductio I this project, I worked o expadig exercise 4. What I focused o is makig the real gesture recogizig sesor ad desig proper gestures ad recogizig

More information

Ones Assignment Method for Solving Traveling Salesman Problem

Ones Assignment Method for Solving Traveling Salesman Problem Joural of mathematics ad computer sciece 0 (0), 58-65 Oes Assigmet Method for Solvig Travelig Salesma Problem Hadi Basirzadeh Departmet of Mathematics, Shahid Chamra Uiversity, Ahvaz, Ira Article history:

More information

OPC Server ECL Comfort 210/310 OPC Server

OPC Server ECL Comfort 210/310 OPC Server OPC Server Descriptio j l j o j l k j l j Modbus-RS485 k Etheret or Iteret l Modbus-TCP ECL Cofort cotroller Heat eter o SCADA server The Dafoss is a OPC-copliat server that serves data to OPC cliets.

More information

3D Model Retrieval Method Based on Sample Prediction

3D Model Retrieval Method Based on Sample Prediction 20 Iteratioal Coferece o Computer Commuicatio ad Maagemet Proc.of CSIT vol.5 (20) (20) IACSIT Press, Sigapore 3D Model Retrieval Method Based o Sample Predictio Qigche Zhag, Ya Tag* School of Computer

More information

VISUALSLX AN OPEN USER SHELL FOR HIGH-PERFORMANCE MODELING AND SIMULATION. Thomas Wiedemann

VISUALSLX AN OPEN USER SHELL FOR HIGH-PERFORMANCE MODELING AND SIMULATION. Thomas Wiedemann Proceedigs of the 2000 Witer Simulatio Coferece J. A. Joies, R. R. Barto, K. Kag, ad P. A. Fishwick, eds. VISUALSLX AN OPEN USER SHELL FOR HIGH-PERFORMANCE MODELING AND SIMULATION Thomas Wiedema Techical

More information

IMP: Superposer Integrated Morphometrics Package Superposition Tool

IMP: Superposer Integrated Morphometrics Package Superposition Tool IMP: Superposer Itegrated Morphometrics Package Superpositio Tool Programmig by: David Lieber ( 03) Caisius College 200 Mai St. Buffalo, NY 4208 Cocept by: H. David Sheets, Dept. of Physics, Caisius College

More information

Guide to Applying Online

Guide to Applying Online Guide to Applyig Olie Itroductio Respodig to requests for additioal iformatio Reportig: submittig your moitorig or ed of grat Pledges: submittig your Itroductio This guide is to help charities submit their

More information

Baan Finance Financial Statements

Baan Finance Financial Statements Baa Fiace Fiacial Statemets Module Procedure UP041A US Documetiformatio Documet Documet code : UP041A US Documet group : User Documetatio Documet title : Fiacial Statemets Applicatio/Package : Baa Fiace

More information

Data diverse software fault tolerance techniques

Data diverse software fault tolerance techniques Data diverse software fault tolerace techiques Complemets desig diversity by compesatig for desig diversity s s limitatios Ivolves obtaiig a related set of poits i the program data space, executig the

More information

SCI Reflective Memory

SCI Reflective Memory Embedded SCI Solutios SCI Reflective Memory (Experimetal) Atle Vesterkjær Dolphi Itercoect Solutios AS Olaf Helsets vei 6, N-0621 Oslo, Norway Phoe: (47) 23 16 71 42 Fax: (47) 23 16 71 80 Mail: atleve@dolphiics.o

More information

Software development of components for complex signal analysis on the example of adaptive recursive estimation methods.

Software development of components for complex signal analysis on the example of adaptive recursive estimation methods. Software developmet of compoets for complex sigal aalysis o the example of adaptive recursive estimatio methods. SIMON BOYMANN, RALPH MASCHOTTA, SILKE LEHMANN, DUNJA STEUER Istitute of Biomedical Egieerig

More information

UNIVERSITY OF MORATUWA

UNIVERSITY OF MORATUWA UNIVERSITY OF MORATUWA FACULTY OF ENGINEERING DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING B.Sc. Egieerig 2014 Itake Semester 2 Examiatio CS2052 COMPUTER ARCHITECTURE Time allowed: 2 Hours Jauary 2016

More information

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5 Morga Kaufma Publishers 26 February, 28 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 5 Set-Associative Cache Architecture Performace Summary Whe CPU performace icreases:

More information

Baan Tools User Management

Baan Tools User Management Baa Tools User Maagemet Module Procedure UP008A US Documetiformatio Documet Documet code : UP008A US Documet group : User Documetatio Documet title : User Maagemet Applicatio/Package : Baa Tools Editio

More information

Elementary Educational Computer

Elementary Educational Computer Chapter 5 Elemetary Educatioal Computer. Geeral structure of the Elemetary Educatioal Computer (EEC) The EEC coforms to the 5 uits structure defied by vo Neuma's model (.) All uits are preseted i a simplified

More information

An Improved Shuffled Frog-Leaping Algorithm for Knapsack Problem

An Improved Shuffled Frog-Leaping Algorithm for Knapsack Problem A Improved Shuffled Frog-Leapig Algorithm for Kapsack Problem Zhoufag Li, Ya Zhou, ad Peg Cheg School of Iformatio Sciece ad Egieerig Hea Uiversity of Techology ZhegZhou, Chia lzhf1978@126.com Abstract.

More information

G2 T Made in the USA. Specification Sheet G2T-001 G2T Mainframes with Touchscreen Accepts G2 Plug-in Modules Four Sizes: 2RU, 3RU, 6RU and 8RU

G2 T Made in the USA. Specification Sheet G2T-001 G2T Mainframes with Touchscreen Accepts G2 Plug-in Modules Four Sizes: 2RU, 3RU, 6RU and 8RU Specificatio Sheet G2T-001 G2T Maiframes with Touchscree Accepts G2 Plug-i Modules Four Sizes: 2RU, 3RU, 6RU ad 8RU Geeral The G2T maiframes are the latest additio to our fieldprove G2 family of products

More information

Lecture 28: Data Link Layer

Lecture 28: Data Link Layer Automatic Repeat Request (ARQ) 2. Go ack N ARQ Although the Stop ad Wait ARQ is very simple, you ca easily show that it has very the low efficiecy. The low efficiecy comes from the fact that the trasmittig

More information

CAEN Tools for Discovery

CAEN Tools for Discovery BF2535 - Trasitio from Sy1527/Sy2527 Maiframes To Sy4527/Sy5527 Maiframes rev. 3-12 April 2012 CAEN Electroic Istrumetatio TRANSITION FROM SY1527/SY2527 MAINFRAMES TO SY4527/SY5527 MAINFRAMES Viareggio,

More information

Global Support Guide. Verizon WIreless. For the BlackBerry 8830 World Edition Smartphone and the Motorola Z6c

Global Support Guide. Verizon WIreless. For the BlackBerry 8830 World Edition Smartphone and the Motorola Z6c Verizo WIreless Global Support Guide For the BlackBerry 8830 World Editio Smartphoe ad the Motorola Z6c For complete iformatio o global services, please refer to verizowireless.com/vzglobal. Whether i

More information

Transitioning to BGP

Transitioning to BGP Trasitioig to BGP ISP Workshops These materials are licesed uder the Creative Commos Attributio-NoCommercial 4.0 Iteratioal licese (http://creativecommos.org/liceses/by-c/4.0/) Last updated 24 th April

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 6 Defiig Fuctios Pytho Programmig, 2/e 1 Objectives To uderstad why programmers divide programs up ito sets of cooperatig fuctios. To be able to

More information

Optimization for framework design of new product introduction management system Ma Ying, Wu Hongcui

Optimization for framework design of new product introduction management system Ma Ying, Wu Hongcui 2d Iteratioal Coferece o Electrical, Computer Egieerig ad Electroics (ICECEE 2015) Optimizatio for framework desig of ew product itroductio maagemet system Ma Yig, Wu Hogcui Tiaji Electroic Iformatio Vocatioal

More information

What are Information Systems?

What are Information Systems? Iformatio Systems Cocepts What are Iformatio Systems? Roma Kotchakov Birkbeck, Uiversity of Lodo Based o Chapter 1 of Beett, McRobb ad Farmer: Object Orieted Systems Aalysis ad Desig Usig UML, (4th Editio),

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter The Processor Part A path Desig Itroductio CPU performace factors Istructio cout Determied by ISA ad compiler. CPI ad

More information

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS APPLICATION NOTE PACE175AE BUILT-IN UNCTIONS About This Note This applicatio brief is iteded to explai ad demostrate the use of the special fuctios that are built ito the PACE175AE processor. These powerful

More information

Princeton Instruments Reference Manual

Princeton Instruments Reference Manual Priceto Istrumets Referece Maual Improvisio, Viscout Cetre II, Uiversity of Warwick Sciece Park, Millbur Hill Road, Covetry. CV4 7HS Tel: 0044 (0) 24 7669 2229 Fax: 0044 (0) 24 7669 0091 e-mail: admi@improvisio.com

More information

Mindmapping: A General Purpose (Test) Planning Tool

Mindmapping: A General Purpose (Test) Planning Tool W8 Test Strategy, Plaig, Metrics Wedesday, May 2d, 2018 1:45 PM Midmappig: A Geeral Purpose (Test) Plaig Tool Preseted by: Bob Gale Zeergy Techologies Brought to you by: 350 Corporate Way, Suite 400, Orage

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 26 Ehaced Data Models: Itroductio to Active, Temporal, Spatial, Multimedia, ad Deductive Databases Copyright 2016 Ramez Elmasri ad Shamkat B.

More information

THIN LAYER ORIENTED MAGNETOSTATIC CALCULATION MODULE FOR ELMER FEM, BASED ON THE METHOD OF THE MOMENTS. Roman Szewczyk

THIN LAYER ORIENTED MAGNETOSTATIC CALCULATION MODULE FOR ELMER FEM, BASED ON THE METHOD OF THE MOMENTS. Roman Szewczyk THIN LAYER ORIENTED MAGNETOSTATIC CALCULATION MODULE FOR ELMER FEM, BASED ON THE METHOD OF THE MOMENTS Roma Szewczyk Istitute of Metrology ad Biomedical Egieerig, Warsaw Uiversity of Techology E-mail:

More information

The VSS CCD photometry spreadsheet

The VSS CCD photometry spreadsheet The VSS CCD photometry spreadsheet Itroductio This Excel spreadsheet has bee developed ad tested by the BAA VSS for aalysig results files produced by the multi-image CCD photometry procedure i AIP4Wi v2.

More information

Goals of this Lecture Activity Diagram Example

Goals of this Lecture Activity Diagram Example Goals of this Lecture Activity Diagram Example Object-Orieted Aalysis ad Desig - Fall 998 Preset a example activity diagram Ð Relate to requiremets, use cases, ad class diagrams Also, respod to a questio

More information

Analysis Metrics. Intro to Algorithm Analysis. Slides. 12. Alg Analysis. 12. Alg Analysis

Analysis Metrics. Intro to Algorithm Analysis. Slides. 12. Alg Analysis. 12. Alg Analysis Itro to Algorithm Aalysis Aalysis Metrics Slides. Table of Cotets. Aalysis Metrics 3. Exact Aalysis Rules 4. Simple Summatio 5. Summatio Formulas 6. Order of Magitude 7. Big-O otatio 8. Big-O Theorems

More information

Data Protection: Your Choice Is Simple PARTNER LOGO

Data Protection: Your Choice Is Simple PARTNER LOGO Data Protectio: Your Choice Is Simple PARTNER LOGO Is Your Data Truly Protected? The growth, value ad mobility of data are placig icreasig pressure o orgaizatios. IT must esure assets are properly protected

More information

Out the box. dataloggers. easy to configure easy data streaming easy choice. connect, simply configure and go

Out the box. dataloggers. easy to configure easy data streaming easy choice. connect, simply configure and go Out the box dataloggers easy data collectio easily prove easy to cofigure easy data streamig easy choice coect, simply cofigure ad go Rebel Data Loggers - A complete solutio The Rebel rage offers a complete

More information

9.1. Sequences and Series. Sequences. What you should learn. Why you should learn it. Definition of Sequence

9.1. Sequences and Series. Sequences. What you should learn. Why you should learn it. Definition of Sequence _9.qxd // : AM Page Chapter 9 Sequeces, Series, ad Probability 9. Sequeces ad Series What you should lear Use sequece otatio to write the terms of sequeces. Use factorial otatio. Use summatio otatio to

More information

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation Improvemet of the Orthogoal Code Covolutio Capabilities Usig FPGA Implemetatio Naima Kaabouch, Member, IEEE, Apara Dhirde, Member, IEEE, Saleh Faruque, Member, IEEE Departmet of Electrical Egieerig, Uiversity

More information

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5.

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5. Morga Kaufma Publishers 26 February, 208 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 5 Virtual Memory Review: The Memory Hierarchy Take advatage of the priciple

More information

ICS Regent. Communications Modules. Module Operation. RS-232, RS-422 and RS-485 (T3150A) PD-6002

ICS Regent. Communications Modules. Module Operation. RS-232, RS-422 and RS-485 (T3150A) PD-6002 ICS Reget Commuicatios Modules RS-232, RS-422 ad RS-485 (T3150A) Issue 1, March, 06 Commuicatios modules provide a serial commuicatios iterface betwee the cotroller ad exteral equipmet. Commuicatios modules

More information

The CCITT Communication Protocol for Videophone Teleconferencing Equipment

The CCITT Communication Protocol for Videophone Teleconferencing Equipment The CCITT Commuicatio Protocol for Videophoe Telecoferecig Equipmet Ralf Hiz Daimler-Bez AG Istitut ffir Iformatiostechik Tcl. 0731 / 505-21 32 Fax. 0731 / 505-41 04 Wilhelm-R.uge-Str. 11 7900 Ulm Abstract

More information

Improving Template Based Spike Detection

Improving Template Based Spike Detection Improvig Template Based Spike Detectio Kirk Smith, Member - IEEE Portlad State Uiversity petra@ee.pdx.edu Abstract Template matchig algorithms like SSE, Covolutio ad Maximum Likelihood are well kow for

More information

6.854J / J Advanced Algorithms Fall 2008

6.854J / J Advanced Algorithms Fall 2008 MIT OpeCourseWare http://ocw.mit.edu 6.854J / 18.415J Advaced Algorithms Fall 2008 For iformatio about citig these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. 18.415/6.854 Advaced Algorithms

More information

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago CMSC 22200 Computer Architecture Lecture 12: Virtual Memory Prof. Yajig Li Uiversity of Chicago A System with Physical Memory Oly Examples: most Cray machies early PCs Memory early all embedded systems

More information

GAAFR. Supplement. Now Available. Covering: pronouncements: Statement Nos. 67, 68, 69, and 70

GAAFR. Supplement. Now Available. Covering: pronouncements: Statement Nos. 67, 68, 69, and 70 Govermet Fiace Officers Associatio Now Available i both E-BOOK ad PRINT form GAAFR Govermetal Accoutig, Auditig ad Fiacial Reportig Supplemet Coverig: GASB s four ew prooucemets: Statemet Nos. 67, 68,

More information

Workflow model GM AR. Gumpy. Dynagump. At a very high level, this is what gump does. We ll be looking at each of the items described here seperately.

Workflow model GM AR. Gumpy. Dynagump. At a very high level, this is what gump does. We ll be looking at each of the items described here seperately. Workflow model GM AR Gumpy RM Dyagump At a very high level, this is what gump does. We ll be lookig at each of the items described here seperately. User edits project descriptor ad commits s maitai their

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 19 Query Optimizatio Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Query optimizatio Coducted by a query optimizer i a DBMS Goal:

More information

The Magma Database file formats

The Magma Database file formats The Magma Database file formats Adrew Gaylard, Bret Pikey, ad Mart-Mari Breedt Johaesburg, South Africa 15th May 2006 1 Summary Magma is a ope-source object database created by Chris Muller, of Kasas City,

More information

Chapter 5. Functions for All Subtasks. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 5. Functions for All Subtasks. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 5 Fuctios for All Subtasks Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 5.1 void Fuctios 5.2 Call-By-Referece Parameters 5.3 Usig Procedural Abstractio 5.4 Testig ad Debuggig

More information

January March To learn more or register for any of these events, visit Government Finance Officers Association.

January March To learn more or register for any of these events, visit  Government Finance Officers Association. Meetig your traiig eeds ad CPE requiremets Govermet Fiace Officers Associatio Jauary March 2015 Group-Live Course Group IteretBased Course To lear more or register for ay of these evets, visit www.gfoa.org

More information

Oracle Process Manufacturing

Oracle Process Manufacturing Oracle Process Maufacturig Product Developmet Recipe API User s Guide Release 11i Part No. A97387-04 Jauary 2005 Oracle Process Maufacturig Product Developmet Recipe API User s Guide, Release 11i Part

More information

Empirical Validate C&K Suite for Predict Fault-Proneness of Object-Oriented Classes Developed Using Fuzzy Logic.

Empirical Validate C&K Suite for Predict Fault-Proneness of Object-Oriented Classes Developed Using Fuzzy Logic. Empirical Validate C&K Suite for Predict Fault-Proeess of Object-Orieted Classes Developed Usig Fuzzy Logic. Mohammad Amro 1, Moataz Ahmed 1, Kaaa Faisal 2 1 Iformatio ad Computer Sciece Departmet, Kig

More information

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis IOSR Joural of Egieerig Redudacy Allocatio for Series Parallel Systems with Multiple Costraits ad Sesitivity Aalysis S. V. Suresh Babu, D.Maheswar 2, G. Ragaath 3 Y.Viaya Kumar d G.Sakaraiah e (Mechaical

More information

Security and Communication. Ultimate. Because Intercom doesn t stop at the hardware level. Software Intercom Server for virtualised IT platforms

Security and Communication. Ultimate. Because Intercom doesn t stop at the hardware level. Software Intercom Server for virtualised IT platforms Because Itercom does t stop at the hardware level by Commed Software Itercom Server for virtualised IT platforms Ready for VMware Ready for Hyper-V VoIP Ultimate availability Itercom Server as a app The

More information

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming Lecture Notes 6 Itroductio to algorithm aalysis CSS 501 Data Structures ad Object-Orieted Programmig Readig for this lecture: Carrao, Chapter 10 To be covered i this lecture: Itroductio to algorithm aalysis

More information

TruVu 360 User Community. SpectroCare. Enterprise Fluid Intelligence for Predictive Maintenance. TruVu 360 Product Information

TruVu 360 User Community. SpectroCare. Enterprise Fluid Intelligence for Predictive Maintenance. TruVu 360 Product Information TruVu 360 User Commuity Cotiuous educatio is importat for a successful o-site lubricat program. With ever growig articles, videos, ad structured learig modules, TruVu 360 user commuity is a digital commuity

More information

A Taste of Maya. Character Setup

A Taste of Maya. Character Setup This tutorial goes through the steps to add aimatio cotrols to a previously modeled character. The character i the scee below is wearig clothes made with Cloth ad the sceery has bee created with Pait Effects.

More information

K-NET bus. When several turrets are connected to the K-Bus, the structure of the system is as showns

K-NET bus. When several turrets are connected to the K-Bus, the structure of the system is as showns K-NET bus The K-Net bus is based o the SPI bus but it allows to addressig may differet turrets like the I 2 C bus. The K-Net is 6 a wires bus (4 for SPI wires ad 2 additioal wires for request ad ackowledge

More information

ARM. Microcontroller Development Tools. ARM RealView C/C++ Compilation Tools with MicroLib. Easy-to-use IDE Supports Complete Development Cycle

ARM. Microcontroller Development Tools. ARM RealView C/C++ Compilation Tools with MicroLib. Easy-to-use IDE Supports Complete Development Cycle ARM Microcotroller Developmet Tools The RealView Microcotroller Developmet Kit is the complete software developmet eviromet for all ARM7, ARM9, Cortex -M1, ad Cortex-M3 processorbased devices. It combies

More information

Chapter 10. Defining Classes. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 10. Defining Classes. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 10 Defiig Classes Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 10.1 Structures 10.2 Classes 10.3 Abstract Data Types 10.4 Itroductio to Iheritace Copyright 2015 Pearso Educatio,

More information

Bike MS: 2013 Participant Center guide

Bike MS: 2013 Participant Center guide Bike MS: 2013 Participat Ceter guide bikems.org 1 Why use Olie Fudraisig Tools? Usig olie tools makes fudraisig easier Table of Cotets Participats who use persoal pages raise more moey! Bike MS $883 v.

More information