Develop ment of Critical Area Research Met hod for Integrated Circuit s and It s Challenges

Size: px
Start display at page:

Download "Develop ment of Critical Area Research Met hod for Integrated Circuit s and It s Challenges"

Transcription

1 Microelect ronics Vol139, No. 5 Oct. 2009,,, (, ) : (DFM),Monte Carlo Voronoi ; : ; ; ; : TN401 :A : (2009) Develop ment of Critical Area Research Met hod for Integrated Circuit s and It s Challenges ZHAN G Guoxia, MA Peijun, ZHAN G Xu, HAO Yue ( I nstit ute of Microelect ronics, X i dian Universit y, X i an , P. R. China) Abstract : Critical area research method is one of the most important research subjects of design for manufacturability (DFM). The mainstream critical area algorithms were summarized and analyzed. Advantages and limitations in Monte Carlo method, polygon operator method and Voronoi diagram were discussed. In addition, challenges to critical area research for deep submicron ICs using new lithography technology were analyzed and discussed in particular. Key words : Integrated circuits ; Critical area ; Critical area algorithm ; Deep submicron lithography EEACC : 2570A 1, [1 ], ( SSI) (MSI) (L SI), (VL SI) ( UL SI) ( GL SI) ITRS2006 [2 ], 3 30 %,32 nm 2020, 14 nm,,, (yield),, : M Y F = 7 i = ( c) Di A i i 2 i,, Y F, i, i,, Di, A ( i c) [3 ], DFM (design for manufact ur2 ing) DF Y(design for yield),dfm : ; : : ( ) ; (SJ082ZT13)

2 5 705, ;DF Y [4 ],,, IC, IC,,,, [ 6 ],, [5 ], (CA), CA,,, ;,,, [ 7 ] 2 : ( A ( i c) ),,, : A ( i c) = R max A ( R) h( R) d R R min, A ( R) R, h( R), Rmin, Rmax [3 ], (pin hole),, (metal island) (imp urties), :,, 1 3, [ 7 ] IC (CAA), ( ) ( ), 3 30, 20

3 ,EDA, Monte Carlo Voronoi 3. 1 Monte Carlo Monte Carlo,,,, Monte Carlo,,, [8. 9 ], (DRC), [18 ] Monte Carlo CA,,,Monte Carlo,, [10 ],, 3. 2, [11 ] Boolean (AND OR ANDNO T EXOR) /,,,,,, [12 ] 4, [13 ] Monte Carlo,,,, [14 ],, DRC, [15 ] 4 R [28] Fig. 4 Extra material and missing material critical areas of defects with diameter R 3. 3 Voronoi, Papadopoulou Voronoi [ 16, 17 ],,, 5 Voronoi, L,p q x y Voronoi + 1 (a) Voronoi (a) Exterior Voronoi diagram

4 5 707 (b) Voronoi [18 ] ( b) Interior Voronoi diagram 5 Voronoi [18 ] Fig. 5 Exterior and interior Voronoi diagrams 21 Voronoi 1/ 3 2/ 3, 245, Voronoi,,, Voronoi,,,2 r, r,, IBM,,, CA E, Voronoi Voronoi, L, Monte Carlo 60, Voronoi,, DRC [ 18 ] 3. 4 Monte Carlo,,, [26 ], Monte Carlo,E YE E YE EYE Cadence, ( hierarchy) Voronoi,, Voronoi VL SI, DFM,,,, virt ual artwork [19 ] scan line [20 ] heuristic analytical [21 ] Wagner Koren [22 ],, 4 ( Process Variation) [7 ] 6 [ 23 ],, 6 [23 ] Fig. 6 Changing wave length for photolithography vs. device feature size,

5 ,,, [24 ],, ( Reticle Enhancement Technology, RET),, (Off2Axis Illumination,OA I) (OPC),,,,, (p hase shif2 ted mask, PSM), [25 ] 7 O PC 7 OPC [29 ] Fig. 7 OPC counteracts lithography distortions,,, 7,,, Monte Carlo Voronoi,:, ;,, 2 2,,, 5, 20 nm (, ),,DFM,, Monte Carlo,, [26 ], Monte Carlo, ( hierarchy),,voronoi,,,,, [3 ], :,, ;, Layout MAS K Silicon,, ; RET,,,,, : [1 ] MOORE G E. Cramming more components onto inte2

6 5 709 grated circuit s [J ]. IEEE Electronics Magazine, (8) : [2 ] Semiconductor Industry Association International Technol2 ogy Roadmap for Semiconductors 2006 [ EB/ OL ]. http : / / public. itrs. net/, [3 ],,. Yield estimation of metallic layers in integrated circuit s [ J ]. Chinese Physics, 2007, 16 (6) : [4 ] FULL ER B. What s yield got to do with IC design? [ EB/ OL ]. http :/ / www. eetimes. com. [5 ] L EE F, IKEUCHI A, TSU KIBOSHI Y, et al. Criti2 cal area optimizations improve IC yields [ EBIOL ]. ht2 tp :/ / www. eetimes. com/ news/ design/ show Article. J html? Article ID = , [6 ]. [ M ]. :, [7 ]. EDA [J ]., 2007, 16 (2) : [8 ] STAPPER C H. Modeling of defects in integrated cir2 cuit s photolithographic patterns [J ]. IBM J Resear De2 velop, 1984, 8 (4) : [9 ] WAL KER H, DIRECTOR S W. VLASIC : a cata2 strophic fault yield simulator for integrated circuit s [J ]. IEEE Trans Comp Aid Des Integr Circ and Syst, 1986, 5 (4) : [ 10 ]. [ M ]. :, [11 ] RIVERS M. Random yield simulation applied to physical circuit design [ C ] / / MOORE W R, MAL Y W, STROJ WAS A, Eds. Yield modeling and defect toler2 ance in VL SI circuits. Bristol, U K: Adam Hilger Ltd, [12 ] ALLAN G A, WAL TON A J. Critical area extrac2 tion for soft fault estimation [J ]. IEEE Trans Semi2 cond Manufac, 1998, 11 (1) : [13 ] ALLAN G A, WAL TON A J. Efficient critical area estimation for arbitrary defect shapes [ C ] / / Proc IEEE Int Symp Defect and Fault Tolerance in VL SI Systems. Edinburgh, U K : [14 ] ALLAN G A, WAL TON A J. Yield prediction by sampling with the EYES tool [ C] / / IEEE Int Symp Defect and Fault Tolerance in VL SI Systems. Berlin, Germany : [15 ] PL ESKACZ W A, OU YAN G C H, MAL Y W. A DRC based algorithm for extraction of critical areas for opens in large VL SI circuit s [ J ]. IEEE Trans Comp Aid Des Integr Circ and Syst, 1999, 18 (2) : [16 ] PAPADOPOULOU E. Critical area computation for missing material defects in VL SI circuits [J ]. IEEE Trans Comp Aid Des Integr Circ and Syst, 2001, 20 (5) : [17 ] PA PADOPOULOU E, L EE D T. Critical area com2 putation via Voronoi diagrams [ J ]. IEEE Trans Comp Aid Des Integr Circ and Syst, 1999, 18 (4) : [18 ] MA YNARD D N, HIBBEL ER J D. Measurement and reduction of critical area using Voronoi diagrams [ C] / / IEEE/ SEMI Advan Semicond Manufac Conf and Workshop. Munich, Germany : [19 ] MAL Y W. Modeling of lithographic related yield los2 ses for CAD of VL SI circuits [J ]. IEEE Trans Comp Aid Des Integr Circ and Syst, 1985, 4 (3) : [ 20 ] DE GYV EZ J P, DAN I S M. IC defect sensitivity for footprint type spot defect s [ J ]. IEEE Trans Comp Aid Des Integr Circ and Syst, 1982, 11 (5) : [21 ] DALAL A R, FRANZON P D, LORENZETTI M J. A layout driven yield predictor and fault generator for VL SI [J ]. IEEE Trans Semicond Manufac, 1993, 6 (1) : [22 ] WA GNER I A, KOREN I. An interactive VLSI CAD tool for yield estimation [ J ]. IEEE Trans Semicond Manufac, 1995, 8 (2) : [23 ] KA HN G A B, PA TI Y C. Subwavelength lithogra2 phy and its potential impact on design and EDA [ Z]. UCL A Department of Computer Science, Los Angel2 es, CA USA Numerical Technologies, Inc., Santa Clara, CA USA [24 ],. [J ]., 2007, 32 (9) : [25 ],. [J ]., 2002, 23 (3) : [26 ],,. [J ]., 1996, 17 (9) : [27 ] ALLAN G A. Yield information [ EB/ OL ]. http :/ / www. icyield. com/ yield_pred. html. [28 ] MA Pei2jun, HAO Yue, KOU Yun. An improved mod2 el and method of calculating the VLSI critical area [J ]. Chin J Semicond, 2001, 22 (9) : [29 ] TEDESCO S. Next generation lithography : the chal2 lenges of nano2lithography [ C ]/ / MINA TEC. Grenoble, France : : (1982 ), ( ),,,

NEW ROUTING AND COMPACTION STRATEGIES FOR YIELD ENHANCEMENT

NEW ROUTING AND COMPACTION STRATEGIES FOR YIELD ENHANCEMENT NEW ROUTING AND COMPACTION STRATEGIES FOR YIELD ENHANCEMENT Venkat K. R. Chiluvuri and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 01003 Abstract

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Transistor Flaring in Deep Submicron Design Considerations

Transistor Flaring in Deep Submicron Design Considerations Transistor Flaring in Deep Submicron Design Considerations Vipul Singhal, Keshav C.B., Sumanth K.G., P.R. Suresh Abstract - The deep sub-micron regime has broughtup several manufacturing issues which impact

More information

Voronoi diagrams generalizations and applications in VLSI manufacturing. Evanthia Papadopoulou IBM T.J. Watson Research Center

Voronoi diagrams generalizations and applications in VLSI manufacturing. Evanthia Papadopoulou IBM T.J. Watson Research Center Voronoi diagrams generalizations and applications in VLSI manufacturing Evanthia Papadopoulou IBM T.J. Watson Research Center 1 Overview Voronoi diagram powerful mathematical object Encountered in various

More information

88 Facta Universitatis ser.: Elect. and Energ. vol. 12, No.1 è1999è To achieve this goal, we use a simple model for estimating integrated circuit crit

88 Facta Universitatis ser.: Elect. and Energ. vol. 12, No.1 è1999è To achieve this goal, we use a simple model for estimating integrated circuit crit FACTA UNIVERSITATIS èniçsè Series: Electronics and Energetics vol. 12, No.1è1999è, 87-101 UDC 621.3.049.7 EXTRACTION OF IC CRITICAL AREAS FOR PREDICTING LITHOGRAPHY RELATED YIELD Zoran Stamenkoviçc Abstract.

More information

Critical Area Computation for Missing Material Defects in VLSI Circuits

Critical Area Computation for Missing Material Defects in VLSI Circuits IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 5, MAY 2001 583 Critical Area Computation for Missing Material Defects in VLSI Circuits Evanthia Papadopoulou

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

Enhanced Layout Optimization of Sub-45nm Standard, Memory Cells and Its Effects

Enhanced Layout Optimization of Sub-45nm Standard, Memory Cells and Its Effects Enhanced Layout Optimization of Sub-45nm Standard, Memory Cells and Its Effects Seung Weon Paek*, Dae Hyun Jang*, Joo Hyun Park*, Naya Ha*, Byung-Moo Kim*, Hyo Sig Won*, Kyu-Myung Choi*, Kuang-Kuo Lin

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

Intrusive Routing for Improved Standard Cell Pin Access

Intrusive Routing for Improved Standard Cell Pin Access Intrusive Routing for Improved Standard Cell Pin Access Vishesh Dokania Prof. Puneet Gupta NanoCAD Lab Department of Electrical Engineering, UCLA MS Project Presentation March 10, 2017 Motivation Standard-cell

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Wire Length and Via Reduction for Yield Enhancement *

Wire Length and Via Reduction for Yield Enhancement * Wire Length and Via Reduction for Yield Enhancement * Venkat K. R. Chiluvuri and srael Korent Advanced Design Technology, Motorola, Austin, TX 78735 tdepartment of Electrical andcomputer Engineering University

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Investigation of Diffusion Rounding for. Investigation of Diffusion Rounding for. Post-Lithography Analysis. Puneet Gupta 1, Andrew B.

Investigation of Diffusion Rounding for. Investigation of Diffusion Rounding for. Post-Lithography Analysis. Puneet Gupta 1, Andrew B. Investigation of Diffusion Rounding for Investigation of Diffusion Rounding for Post-Lithography Analysis Puneet Gupta 1, Andrew B. Kahng 2, Youngmin Kim 3*, Saumil Shah 4, and Dennis Sylvester 3 1 University

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

Directed Self-Assembly for the Semiconductor Industry

Directed Self-Assembly for the Semiconductor Industry Directed Self-Assembly for the Semiconductor Industry H.-S. Philip Wong, Chris Bencher # Linda He Yi, Xin-Yu Bao, Li-Wen Chang Stanford University, # Applied Materials Stanford University J.W. Jeong...C.A.

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Jie Yang, Luigi Capodieci and Dennis Sylvester Advanced Micro Devices, 1 AMD Pl., Sunnyvale, CA 9486 EECS Dept., University

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations Adaptive Techniques to Calculate IC Temperature Profile under Large Temperature Variations Amirkoushyar Ziabari, Zhixi Bian, Ali Shakouri Baskin School of Engineering, University of California Santa Cruz

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

On the Use of Nonvolatile Programmable Links for Restructurable VLSI * J.I. Raffel MIT Lincoln Laboratory, Lexington, Massachusetts

On the Use of Nonvolatile Programmable Links for Restructurable VLSI * J.I. Raffel MIT Lincoln Laboratory, Lexington, Massachusetts 95 On the Use of Nonvolatile Programmable Links for Restructurable VLSI * J.I. Raffel MIT Lincoln Laboratory, Lexington, Massachusetts VLSI -Objectives, Problems and History There seems to be general agreement

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits

On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits Aswin Sreedhar, Alodeep Sanyal, Sandip Kundu University of Massachusetts at Amherst Abstract Scaling of transistor feature

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

Pattern-based analytics to estimate and track yield risk of designs down to 7nm

Pattern-based analytics to estimate and track yield risk of designs down to 7nm DAC 2017 Pattern-based analytics to estimate and track yield risk of designs down to 7nm JASON CAIN, MOUTAZ FAKHRY (AMD) PIYUSH PATHAK, JASON SWEIS, PHILIPPE HURAT, YA-CHIEH LAI (CADENCE) INTRODUCTION

More information

Full-IC manufacturability check based on dense silicon imaging

Full-IC manufacturability check based on dense silicon imaging Science in China Ser. F Information Sciences 2005 Vol.48 No.4 533 544 533 Full-IC manufacturability check based on dense silicon imaging YAN Xiaolang, SHI Zheng, CHEN Ye, MA Yue & GAO Gensheng Institute

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Manufacturability-Aware Physical Layout Optimizations

Manufacturability-Aware Physical Layout Optimizations Manufacturability-Aware Physical Layout Optimizations David Z. Pan and Martin D. F. Wong Dept. of Electrical and Computer Engineering, Univ. of Texas at Austin Dept. of Electrical and Computer Engineering,

More information

Application of Automated Design Migration to Alternating Phase Shift Mask Design

Application of Automated Design Migration to Alternating Phase Shift Mask Design Application of Automated Design Migration to Alternating Phase Shift Mask Design Fook-Luen Heng IBM T.J. Watson Research Center P.O. BOX 218 Yorktown Heights, NY 10598 1-914-945-2200 heng@watson.ibm.com

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Higher Order Voronoi Diagrams of Segments for VLSI Critical Area Extraction

Higher Order Voronoi Diagrams of Segments for VLSI Critical Area Extraction Higher Order Voronoi Diagrams of Segments for VLSI Critical Area Extraction Evanthia Papadopoulou IBM T.J. Watson Research Center, Yorktown Heights, NY 10598, USA Athens University of Economics and Business,

More information

Optimality and Scalability Study of Existing Placement Algorithms

Optimality and Scalability Study of Existing Placement Algorithms Optimality and Scalability Study of Existing Placement Algorithms Abstract - Placement is an important step in the overall IC design process in DSM technologies, as it defines the on-chip interconnects,

More information

Creating a parameterized model of a CMOS transistor with a gate of enclosed layout

Creating a parameterized model of a CMOS transistor with a gate of enclosed layout Journal of Physics: Conference Series PAPER OPEN ACCESS Creating a parameterized model of a CMOS transistor with a gate of enclosed layout To cite this article: S M Vinogradov et al 2016 J. Phys.: Conf.

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

FedEx A Fast Bridging Fault Extractor

FedEx A Fast Bridging Fault Extractor FedEx A Fast Bridging Fault Extractor Zoran Stanojevic Dept. of Electrical Engineering Texas A&M University College Station TX 77843-3124 Tel: (979) 862-6610 Fax: (979) 847-8578 Email: zoran@cs.tamu.edu

More information

Physical Design Methodology for Godson-2G Microprocessor

Physical Design Methodology for Godson-2G Microprocessor Zhao JY, Liu D, Huan DD et al. Physical design methodology for Godson-2G microprocessor. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY 25(2): 225 231 Mar. 2010 Physical Design Methodology for Godson-2G Microprocessor

More information

Temperature-Aware Routing in 3D ICs

Temperature-Aware Routing in 3D ICs Temperature-Aware Routing in 3D ICs Tianpei Zhang, Yong Zhan and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota 1 Outline Temperature-aware 3D global routing

More information

SM15K - Interface modules

SM15K - Interface modules DELTA ELEKTRONIKA B.V. DC POWER SUPPLIES Vissersdijk 4, 4301 ND Zierikzee, the Netherlands www.deltapowersupplies.com Tel. +31 111 413656 SM15K - Interface modules Mod els Description INT MOD M/S-2 Master/Slave

More information

arxiv: v1 [cs.oh] 2 Aug 2014

arxiv: v1 [cs.oh] 2 Aug 2014 Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting Bei Yu a 1, Subhendu Roy a, Jhih-Rong Gao b, David Z. Pan a a ECE Department, University of Texas at Austin, Austin, Texas, United

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

Lossless Compression Algorithm for Hierarchical IC Layout Data

Lossless Compression Algorithm for Hierarchical IC Layout Data Lossless Compression Algorithm for Hierarchical IC Layout Data Allan Gu and Avideh Zakhor Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California

More information

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 2008 Automatic Techniques for Modeling Impact of Sub-wavelength Lithography on Transistors and Interconnects

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

(12) Patent Application Publication (10) Pub. No.: US 2012/ A1

(12) Patent Application Publication (10) Pub. No.: US 2012/ A1 US 20120162831A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2012/0162831 A1 Wang et al. (43) Pub. Date: Jun. 28, 2012 (54) ESD PROTECTION CIRCUIT FOR (22) Filed: Dec. 26,

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp.

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp. Published in IET Computers & Digital Techniques Received on 15th May 2007 Revised on 17th December 2007 Selected Papers from NORCHIP 06 ISSN 1751-8601 Architecture for integrated test data compression

More information

3D Visualization Technology of Vector Data in Geographic Information System

3D Visualization Technology of Vector Data in Geographic Information System 32 9 2004 9 ( ) JOURNAL OF TON GJ I UN IVERSITY(NATURAL SCIENCE) Vol 32 No 9 Sep 2004,, (, 200092) : GIS,, 5 : ; ; ; ; : P 208 : A : 0253-374X(2004) 09-1197 - 05 3D Visualization Technology of Vector Data

More information

POWER OPTIMIZATION USING BODY BIASING METHOD FOR DUAL VOLTAGE FPGA

POWER OPTIMIZATION USING BODY BIASING METHOD FOR DUAL VOLTAGE FPGA POWER OPTIMIZATION USING BODY BIASING METHOD FOR DUAL VOLTAGE FPGA B.Sankar 1, Dr.C.N.Marimuthu 2 1 PG Scholar, Applied Electronics, Nandha Engineering College, Tamilnadu, India 2 Dean/Professor of ECE,

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Suchandra Banerjee Anand Ratna Suchismita Roy mailnmeetsuchandra@gmail.com pacific.anand17@hotmail.com suchismita27@yahoo.com

More information

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC)

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) Mr. D. Sri Harsha 1, Mr. D. Surendra Rao 2 1 Assistant Professor, Dept. of ECE, GNITC, Hyderabad

More information

A Novel Methodology for Triple/Multiple-Patterning Layout Decomposition

A Novel Methodology for Triple/Multiple-Patterning Layout Decomposition A Novel Methodology for Triple/Multiple-Patterning Layout Decomposition Rani S. Ghaida 1, Kanak B. Agarwal 2, Lars W. Liebmann 3, Sani R. Nassif 2, Puneet Gupta 1 1 UCLA, Electrical Engineering Dept. 2

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

idrm: Fixing the broken interface between design and manufacturing

idrm: Fixing the broken interface between design and manufacturing idrm: Fixing the broken interface between design and manufacturing Abstract Sage Design Automation, Inc. Santa Clara, California, USA This paper reviews the industry practice of using the design rule manual

More information

Interaction and Balance of Mask Write Time and Design RET Strategies

Interaction and Balance of Mask Write Time and Design RET Strategies Interaction and Balance of Mask Write Time and Design RET Strategies Yuan Zhang a, Rick Gray b, O. Sam Nakagawa c, Puneet Gupta c, Henry Kamberian d, Guangming Xiao e, Rand Cottle e, Chris Progler a Photronics

More information

Core-Level Compression Technique Selection and SOC Test Architecture Design 1

Core-Level Compression Technique Selection and SOC Test Architecture Design 1 17th Asian Test Symposium Core-Level Compression Technique Selection and SOC Test Architecture Design 1 Anders Larsson +, Xin Zhang +, Erik Larsson +, and Krishnendu Chakrabarty * + Department of Computer

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Minimization of Multiple-Valued Functions in Post Algebra

Minimization of Multiple-Valued Functions in Post Algebra Minimization of Multiple-Valued Functions in Post Algebra Elena Dubrova Yunjian Jiang Robert Brayton Department of Electronics Dept. of Electrical Engineering and Computer Sciences Royal Institute of Technology

More information

Spayn Worst-Case Modeling

Spayn Worst-Case Modeling Presentation Outline Motivation Requirements for Accurate Worst-Case Modeling Traditional Approach to Worst-Case Modeling PCA or PFA Approach Worst-Case Design Techniques Employing PCA or PFA Worst-Case

More information

Statistical Modeling for Monte Carlo Simulation using Hspice

Statistical Modeling for Monte Carlo Simulation using Hspice Statistical Modeling for Monte Carlo Simulation using Hspice Kerwin Khu Chartered Semiconductor Manufacturing Ltd khukerwin@charteredsemi.com ABSTRACT With today's stringent design margins, designers can

More information

ELECTROSTATIC discharge (ESD) phenomenon continues

ELECTROSTATIC discharge (ESD) phenomenon continues IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 27, NO. 3, SEPTEMBER 2004 445 ESD Protection Design to Overcome Internal Damage on Interface Circuits of a CMOS IC With Multiple Separated

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Yield - Performance Tradeoffs for VLSI Processors with Partially Good Two-Level On-Chip Caches

Yield - Performance Tradeoffs for VLSI Processors with Partially Good Two-Level On-Chip Caches Yield - Performance Tradeoffs for VLSI Processors with Partially Good Two-Level On-Chip Caches D. Nikolos, H. T. Vergos, A. Vazaios & S. Voulgaris Dept. of Computer Engineering & Informatics University

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015

940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015 940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015 Evaluating Chip-Level Impact of Cu/Low-κ Performance Degradation on Circuit Performance at Future Technology Nodes Ahmet Ceyhan, Member,

More information

Design and Implementation of Low Power LUT Based on Nonvolatile RRAM

Design and Implementation of Low Power LUT Based on Nonvolatile RRAM Design and Implementation of Low Power LUT Based on Nonvolatile RRAM K.Nagaraju Department of ECE, VLSI & ES, Prakasam Engineering College, Kandukuru, Prakasam Dt, A.P. Dr.Ch.Ravi Kumar HOD, Department

More information

Comparison of Wafer-level Spatial I DDQ Estimation Methods: NNR versus NCR

Comparison of Wafer-level Spatial I DDQ Estimation Methods: NNR versus NCR Comparison of Wafer-level Spatial I DDQ Estimation Methods: versus Sagar S. Sabade * D. M. H. Walker + Department of Computer Science Texas A&M University College Station, TX 7783-3 Phone: (979) 86-387

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information