Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks

Size: px
Start display at page:

Download "Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks"

Transcription

1 Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks Aditya Agrawal, Josep Torrellas and Sachin Idgunji University of Illinois at Urbana Champaign and Nvidia Corporation MICRO, October 2017

2 Xylem 2 Image source:

3 Motivation: Thermal Issues in 3D Stacking Processor-Memory Stacks: Reduced interconnect length and power Higher memory bandwidth Smaller form factors Heterogeneous integration 2.5D processor-memory stacks exist 3D processor-memory stacking is the future Major challenge: Thermals 3

4 TSV TTSV Lower Die (Back) 2 μm 20 μm 2 μm Upper Die (Face) TTSV TSV 3D Stacking Technologies Silicon Devices M1 Mn Frontside Metal Layers Electrical μbump BM1 Dummy μbump Backside Metal Layers D2D Layer Silicon Face-to-back (f2b) die interface (not to scale) 4

5 Stack Organization: Memory on Top Processor power and I/O signals do not traverse TSVs Processor IR drop similar to current designs DRAM and processor die floorplans are independent because TSV count and location are governed by stacked DRAM standards Thermal challenges Heat Sink Integrated Heat Spreader (IHS) Thermal Interface Material (TIM) DRAM Silicon Die to Die (D2D) Layer DRAM Frontside Metal (Al) Through Silicon Vias (TSVs) Processor Silicon Processor Frontside Metal (Cu) C4 pads Package 5

6 Contributions Identify the thermal bottleneck in 3D stacks: Die-to-Die(D2D) layers Improve vertical conduction through the D2D layer: Align and short dummy μbumps with Thermal TSVs Generic and custom TTSV placement schemes Use the resulting thermal headroom: Boost processor frequency ( MHz) & performance (11-18%) Exploit thermal heterogeneity: cores closer to TTSVs conduct heat better Conductivity-aware thread placement and migration, and frequency boosting 6

7 Thermal resistance per unit area: Thermal Resistance in the Stack Layer R th (mm 2 -K/W) Bulk Silicon 0.83 Proc. Metal 1.00 D2D D2D layer is 13-16x more resistive than bulk silicon or metal layers 7

8 Shortcomings of Prior Work Underestimated the thermal resistance of D2D layer by assuming: High conductivity Small thickness Focused on increasing the conductivity of the bulk silicon using TTSVs Concluded that TTSVs alone are effective Our approach: Combine TTSVs with a mechanism to reduce D2D resistance 8

9 Lower Die (Back) D2D Lower Die (Back) D2D Upper Die (Face) Upper Die (Face) Propose: Dummy μbump-ttsv Alignment & Shorting Before Proposed TTSV Silicon Frontside Metal Layers Frontside Metal Layers TTSV Silicon Dummy μbump Underfill Dummy μbump Underfill TTSV Silicon Backside Metal Layers Backside Metal Layers TTSV Silicon 9

10 TTSV Placement: Constraints TTSVs: Cannot disrupt regular DRAM arrays: Place in the DRAM peripheral logic Distribute TTSVs and avoid TTSV farms Maintain Keep Out Zone (KOZ) around each TTSV Dummy μbumps: Anywhere in the D2D layer except the electrical μbump locations 10

11 IL1 Core 5 DL1 IL1 Core 6 DL1 DL1 Core 7 IL1 DL1 Core 8 IL1 IL1 Core 1 DL1 IL1 Core 2 DL1 DL1 Core 3 IL1 DL1 Core 4 IL1 DRAM and Processor Baseline Floorplans TSV Bus L2 L2 L2 L2 Memory Controllers Coherent Bus Logic L2 L2 L2 L2 TSV Bus Bank DRAM (Wide IO) die floorplan Processor die floorplan 11

12 Proposal: TTSV Placement Schemes TTSV TSV Bus TTSV TSV Bus Bank Bank Generic (oblivious to hotspots) Custom (aligned with hotspots) 12

13 Proposal: Frequency Boosting TTSV placement & TTSV-μbump alignment and shorting: Increases thermal conduction from the processor die to the heat sink Reduces the temperature of the processor die Proposal: Increase processor frequency to consume the thermal headroom Increase application performance 13

14 Proposal: Conductivity (λ) Aware Techniques TTSV-μbump alignment and shorting creates high conductivity paths Areas closer to TTSVs dissipate heat more easily Result is thermal spatial heterogeneity in the stack Proposal: Three λ-aware optimizations to further improve performance λ-aware thread placement λ-aware frequency boosting λ-aware thread migration 14

15 Evaluation Setup 8-core OoO processor 2.4 GHz 8 high Wide IO memory on top Processor timing and power: SESC & McPAT DRAM timing and power: DRAMSim2 Thermal analysis: 3D HotSpot Applications: SPLASH-2, PARSEC & NAS Memory-on-top configuration Heat Sink IHS TIM DRAM Silicon D2D Layer DRAM Metal TSVs Proc. Silicon Proc.Metal C4 pads Motherboard 15

16 Result Summary TTSV Placement Generic Custom Area Overhead 0.63% 0.81% Proc. Temp. Reduction 5.0 o C 8.4 o C Avg. Frequency Boost 400 MHz 720 MHz Avg. Performance Gain 11% 18% λ-aware techniques enable further MHz improvements 16

17 Conclusion Identified that D2D layer is the thermal bottleneck in 3D stacks Improved vertical conduction through the D2D layer: Align and short dummy μbumps with TTSVs Generic and custom TTSV placement schemes Used the resulting thermal headroom to Boost processor frequency ( MHz) & performance (11-18%) Exploited thermal heterogeneity: cores closer to TTSVs conduct heat better Conductivity-aware thread placement and migration, and frequency boosting Enable further MHz improvements 17

18 Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks Aditya Agrawal, Josep Torrellas and Sachin Idgunji University of Illinois at Urbana Champaign and Nvidia Corporation 18

Snatch: Opportunistically Reassigning Power Allocation between Processor and Memory in 3D Stacks

Snatch: Opportunistically Reassigning Power Allocation between Processor and Memory in 3D Stacks Snatch: Opportunistically Reassigning Power Allocation between and in 3D Stacks Dimitrios Skarlatos, Renji Thomas, Aditya Agrawal, Shibin Qin, Robert Pilawa, Ulya Karpuzcu, Radu Teodorescu, Nam Sung Kim,

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs 1/16 Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs Kyungwook Chang, Sung-Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Introduction Challenges in 2D Device

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Exploring Performance, Power, and Temperature Characteristics of 3D Systems with On-Chip DRAM

Exploring Performance, Power, and Temperature Characteristics of 3D Systems with On-Chip DRAM Exploring Performance, Power, and Temperature Characteristics of 3D Systems with On-Chip DRAM Jie Meng, Daniel Rossell, and Ayse K. Coskun Electrical and Computer Engineering Department, Boston University,

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

PageForge: A Near-Memory Content- Aware Page-Merging Architecture

PageForge: A Near-Memory Content- Aware Page-Merging Architecture PageForge: A Near-Memory Content- Aware Page-Merging Architecture Dimitrios Skarlatos, Nam Sung Kim, and Josep Torrellas University of Illinois at Urbana-Champaign MICRO-50 @ Boston Motivation: Server

More information

c 2014 Aditya Agrawal

c 2014 Aditya Agrawal c 2014 Aditya Agrawal REFRESH REDUCTION IN DYNAMIC MEMORIES BY ADITYA AGRAWAL DISSERTATION Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy in Electrical and

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Thermal Sign-Off Analysis for Advanced 3D IC Integration Sign-Off Analysis for Advanced 3D IC Integration Dr. John Parry, CEng. Senior Industry Manager Mechanical Analysis Division May 27, 2018 Topics n Acknowledgements n Challenges n Issues with Existing Solutions

More information

Figure 5.2: (a) Floor plan examples for varying the number of memory controllers and ranks. (b) Example configuration.

Figure 5.2: (a) Floor plan examples for varying the number of memory controllers and ranks. (b) Example configuration. Figure 5.2: (a) Floor plan examples for varying the number of memory controllers and ranks. (b) Example configuration. The study found that a 16 rank 4 memory controller system obtained a speedup of 1.338

More information

FlexBulk: Intelligently Forming Atomic Blocks in Blocked-Execution Multiprocessors to Minimize Squashes

FlexBulk: Intelligently Forming Atomic Blocks in Blocked-Execution Multiprocessors to Minimize Squashes FlexBulk: Intelligently Forming Atomic Blocks in Blocked-Execution Multiprocessors to Minimize Squashes Rishi Agarwal and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu

More information

OpenAccess In 3D IC Physical Design

OpenAccess In 3D IC Physical Design OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp Outline 3D IC

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

Thermal Modeling and Active Cooling

Thermal Modeling and Active Cooling Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA) Thermal-Reliability

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Secure Hierarchy-Aware Cache Replacement Policy (SHARP): Defending Against Cache-Based Side Channel Attacks

Secure Hierarchy-Aware Cache Replacement Policy (SHARP): Defending Against Cache-Based Side Channel Attacks : Defending Against Cache-Based Side Channel Attacks Mengjia Yan, Bhargava Gopireddy, Thomas Shull, Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu Presented by Mengjia

More information

VLSID KOLKATA, INDIA January 4-8, 2016

VLSID KOLKATA, INDIA January 4-8, 2016 VLSID 2016 KOLKATA, INDIA January 4-8, 2016 Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in Hybrid Memory Cube Architectures Ishan Thakkar, Sudeep Pasricha Department of Electrical

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

3D Technologies For Low Power Integrated Circuits

3D Technologies For Low Power Integrated Circuits 3D Technologies For Low Power Integrated Circuits Paul Franzon North Carolina State University Raleigh, NC paulf@ncsu.edu 919.515.7351 Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization

More information

Brief Background in Fiber Optics

Brief Background in Fiber Optics The Future of Photonics in Upcoming Processors ECE 4750 Fall 08 Brief Background in Fiber Optics Light can travel down an optical fiber if it is completely confined Determined by Snells Law Various modes

More information

3D SoC and Heterogeneous Integrations

3D SoC and Heterogeneous Integrations 3D SoC and Heterogeneous Integrations Content Introduction ST positioning Why 3D-Integration? CMOS Imager Sensor: the TSV success story! 3D SOC technology & applications Via Middle FE integrations Back-side

More information

The Effect of Temperature on Amdahl Law in 3D Multicore Era

The Effect of Temperature on Amdahl Law in 3D Multicore Era The Effect of Temperature on Amdahl Law in 3D Multicore Era L Yavits, A Morad, R Ginosar Abstract This work studies the influence of temperature on performance and scalability of 3D Chip Multiprocessors

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution Kunal Varshney, Open-Silicon Ganesh Venkatkrishnan, Open-Silicon Pankaj Prajapati, Open-Silicon May 9, 9, 2016 1 Agenda High Bandwidth Memory

More information

Stress Reduction during Silicon Thinning Using Thermal Relaxation and 3D Curvature Correction Techniques

Stress Reduction during Silicon Thinning Using Thermal Relaxation and 3D Curvature Correction Techniques Stress Reduction during Silicon Thinning Using Thermal Relaxation and 3D Curvature Correction Techniques Jim Colvin Consultant Heenal Patel, Timothy Hazeldine Ultra Tec Manufacturing, Santa Ana, USA Abstract

More information

Low-Cost Inter-Linked Subarrays (LISA) Enabling Fast Inter-Subarray Data Movement in DRAM

Low-Cost Inter-Linked Subarrays (LISA) Enabling Fast Inter-Subarray Data Movement in DRAM Low-Cost Inter-Linked ubarrays (LIA) Enabling Fast Inter-ubarray Data Movement in DRAM Kevin Chang rashant Nair, Donghyuk Lee, augata Ghose, Moinuddin Qureshi, and Onur Mutlu roblem: Inefficient Bulk Data

More information

UCLA 3D research started in 2002 under DARPA with CFDRC

UCLA 3D research started in 2002 under DARPA with CFDRC Coping with Vertical Interconnect Bottleneck Jason Cong UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/ cs edu/~cong Outline Lessons learned Research challenges and opportunities

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Best Engineering Practice to Extend the Free Air-Cooling Limit in Tablet Hand Held Devices AMD TFE 2011

Best Engineering Practice to Extend the Free Air-Cooling Limit in Tablet Hand Held Devices AMD TFE 2011 Best Engineering Practice to Extend the Free Air-Cooling Limit in Tablet Hand Held Devices AMD TFE 2011 Gamal Refai-Ahmed, Ph.D, AMD Fellow Guy Wagner, Director - Electronic Cooling Solutions William Maltz,

More information

1. NoCs: What s the point?

1. NoCs: What s the point? 1. Nos: What s the point? What is the role of networks-on-chip in future many-core systems? What topologies are most promising for performance? What about for energy scaling? How heavily utilized are Nos

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs Shin-Shiun Chen, Chun-Kai Hsu, Hsiu-Chuan Shih, and Cheng-Wen Wu Department of Electrical Engineering National Tsing Hua University

More information

Lecture: Memory, Multiprocessors. Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models

Lecture: Memory, Multiprocessors. Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models Lecture: Memory, Multiprocessors Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models 1 Refresh Every DRAM cell must be refreshed within a 64 ms window A row

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

NUMA-Aware Data-Transfer Measurements for Power/NVLink Multi-GPU Systems

NUMA-Aware Data-Transfer Measurements for Power/NVLink Multi-GPU Systems NUMA-Aware Data-Transfer Measurements for Power/NVLink Multi-GPU Systems Carl Pearson 1, I-Hsin Chung 2, Zehra Sura 2, Wen-Mei Hwu 1, and Jinjun Xiong 2 1 University of Illinois Urbana-Champaign, Urbana

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

On-chip Monitoring Infrastructures and Strategies for Many-core Systems

On-chip Monitoring Infrastructures and Strategies for Many-core Systems On-chip Monitoring Infrastructures and Strategies for Many-core Systems ussell Tessier, Jia Zhao, Justin Lu, Sailaja Madduri, and Wayne Burleson esearch supported by the Semiconductor esearch Corporation

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler OVERCOMING THE MEMORY WALL FINAL REPORT By Jennifer Inouye Paul Molloy Matt Wisler ECE/CS 570 OREGON STATE UNIVERSITY Winter 2012 Contents 1. Introduction... 3 2. Background... 5 3. 3D Stacked Memory...

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

Advanced Thermal Solution Dynamic Heat Conduction System (DHCS) Introduction

Advanced Thermal Solution Dynamic Heat Conduction System (DHCS) Introduction August 31, 2015 Version 1. 0 Advanced Thermal Solution Dynamic Heat Conduction System (DHCS) Introduction Author: Irene.Wu E-mail: Irene.Wu@advantech.com.tw August 31, 2015 Version 1. 0 Table of Contents

More information

Near-Threshold Computing: Reclaiming Moore s Law

Near-Threshold Computing: Reclaiming Moore s Law 1 Near-Threshold Computing: Reclaiming Moore s Law Dr. Ronald G. Dreslinski Research Fellow Ann Arbor 1 1 Motivation 1000000 Transistors (100,000's) 100000 10000 Power (W) Performance (GOPS) Efficiency (GOPS/W)

More information

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera Thermal Management of Mobile Electronics: A Case Study in Densification Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi Tessera MEPTEC Thermal Symposium: The Heat is On February 2007 Outline Trends in mobile

More information

Part 1 of 3 -Understand the hardware components of computer systems

Part 1 of 3 -Understand the hardware components of computer systems Part 1 of 3 -Understand the hardware components of computer systems The main circuit board, the motherboard provides the base to which a number of other hardware devices are connected. Devices that connect

More information

ScalableBulk: Scalable Cache Coherence for Atomic Blocks in a Lazy Envionment

ScalableBulk: Scalable Cache Coherence for Atomic Blocks in a Lazy Envionment ScalableBulk: Scalable Cache Coherence for Atomic Blocks in a Lazy Envionment, Wonsun Ahn, Josep Torrellas University of Illinois University of Illinois http://iacoma.cs.uiuc.edu/ Motivation Architectures

More information

2.5D FPGA-HBM Integration Challenges

2.5D FPGA-HBM Integration Challenges 2.5D FPGA-HBM Integration Challenges Jaspreet Gandhi, Boon Ang, Tom Lee, Henley Liu, Myongseob Kim, Ho Hyung Lee, Gamal Refai-Ahmed, Hong Shi, Suresh Ramalingam Xilinx Inc., San Jose CA Page 1 Presentation

More information

Leveraging 3D Technology for Improved Reliability

Leveraging 3D Technology for Improved Reliability Leveraging 3D Technology for Improved Reliability Niti Madan, Rajeev Balasubramonian School of Computing, University of Utah {niti, rajeev}@cs.utah.edu Abstract Aggressive technology scaling over the years

More information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity Donghyuk Lee Carnegie Mellon University Problem: High DRAM Latency processor stalls: waiting for data main memory high latency Major bottleneck

More information

Power and Thermal Models. for RAMP2

Power and Thermal Models. for RAMP2 Power and Thermal Models for 2 Jose Renau Department of Computer Engineering, University of California Santa Cruz http://masc.cse.ucsc.edu Motivation Performance not the only first order design parameter

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

Lecture 11: Large Cache Design

Lecture 11: Large Cache Design Lecture 11: Large Cache Design Topics: large cache basics and An Adaptive, Non-Uniform Cache Structure for Wire-Dominated On-Chip Caches, Kim et al., ASPLOS 02 Distance Associativity for High-Performance

More information

Sophon SC1 White Paper

Sophon SC1 White Paper Sophon SC1 White Paper V10 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved Version Update Content Release Date V10-2017/10/25 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Outline Key technologies for future CMOS imagers Bottlenecks for high speed imaging Our proposal Take home message Oct 12, 2017 Photon-to-Photon

More information

ASIC Clouds: Specializing the Datacenter

ASIC Clouds: Specializing the Datacenter ASIC Clouds: Specializing the Datacenter Ikuo Magaki, Moein Khazraee, Luis Vega Gutierrez, and Michael Bedford Taylor UC San Diego and Toshiba Presented By: Vandit Agarwal Motivation GPU and FPGA based

More information

Thermal-Aware Memory Management Unit of 3D- Stacked DRAM for 3D High Definition (HD) Video

Thermal-Aware Memory Management Unit of 3D- Stacked DRAM for 3D High Definition (HD) Video Thermal-Aware Memory Management Unit of 3D- Stacked DRAM for 3D High Definition (HD) Video Chih-Yuan Chang, Po-Tsang Huang, Yi-Chun Chen, Tian-Sheuan Chang and Wei Hwang Department of Electronics Engineering

More information

IBM 43E7488 POWER6 Microprocessor from the IBM System 8203-E4A Server

IBM 43E7488 POWER6 Microprocessor from the IBM System 8203-E4A Server 43E7488 from the IBM System 8203-E4A Server Package Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please

More information

DRAM Tutorial Lecture. Vivek Seshadri

DRAM Tutorial Lecture. Vivek Seshadri DRAM Tutorial 18-447 Lecture Vivek Seshadri DRAM Module and Chip 2 Goals Cost Latency Bandwidth Parallelism Power Energy 3 DRAM Chip Bank I/O 4 Sense Amplifier top enable Inverter bottom 5 Sense Amplifier

More information

Tera-scale Computing and Interconnect Challenges

Tera-scale Computing and Interconnect Challenges Tera-scale Computing and Interconnect Challenges 3D Stacking Considerations Dr. Jerry Bautista Director, Microprocessor Technology Management Co-Director, Tera-scale Computing Research 2007 Intel Corporation

More information

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor Taeho Kgil, Shaun D Souza, Ali Saidi, Nathan Binkert, Ronald Dreslinski, Steve Reinhardt, Krisztian Flautner,

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

Dynamically Detecting and Tolerating IF-Condition Data Races

Dynamically Detecting and Tolerating IF-Condition Data Races Dynamically Detecting and Tolerating IF-Condition Data Races Shanxiang Qi (Google), Abdullah Muzahid (University of San Antonio), Wonsun Ahn, Josep Torrellas University of Illinois at Urbana-Champaign

More information

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Sandro Bartolini* Department of Information Engineering, University of Siena, Italy bartolini@dii.unisi.it

More information

AC-DIMM: Associative Computing with STT-MRAM

AC-DIMM: Associative Computing with STT-MRAM AC-DIMM: Associative Computing with STT-MRAM Qing Guo, Xiaochen Guo, Ravi Patel Engin Ipek, Eby G. Friedman University of Rochester Published In: ISCA-2013 Motivation Prevalent Trends in Modern Computing:

More information

Orchestrated Scheduling and Prefetching for GPGPUs. Adwait Jog, Onur Kayiran, Asit Mishra, Mahmut Kandemir, Onur Mutlu, Ravi Iyer, Chita Das

Orchestrated Scheduling and Prefetching for GPGPUs. Adwait Jog, Onur Kayiran, Asit Mishra, Mahmut Kandemir, Onur Mutlu, Ravi Iyer, Chita Das Orchestrated Scheduling and Prefetching for GPGPUs Adwait Jog, Onur Kayiran, Asit Mishra, Mahmut Kandemir, Onur Mutlu, Ravi Iyer, Chita Das Parallelize your code! Launch more threads! Multi- threading

More information

Lecture 2: Performance

Lecture 2: Performance Lecture 2: Performance Today s topics: Technology wrap-up Performance trends and equations Reminders: YouTube videos, canvas, and class webpage: http://www.cs.utah.edu/~rajeev/cs3810/ 1 Important Trends

More information

Thermal Considerations in Package Stacking and Advanced Module Technology

Thermal Considerations in Package Stacking and Advanced Module Technology Thermal Considerations in Package Stacking and Advanced Module Technology Ulrich Hansen, Director of Marketing, Staktek February 16, 2006 Continued drive to increase sub-system density, functionality and

More information

The communication bottleneck

The communication bottleneck 3D-MPSoCs: architectural and design technology outlook Luca Benini DEIS Università di Bologna lbenini@deis.unibo.it The communication bottleneck Architectural issues Traditional shared buses do not scale

More information

The Design Complexity of Program Undo Support in a General Purpose Processor. Radu Teodorescu and Josep Torrellas

The Design Complexity of Program Undo Support in a General Purpose Processor. Radu Teodorescu and Josep Torrellas The Design Complexity of Program Undo Support in a General Purpose Processor Radu Teodorescu and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu Processor with program

More information

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D X-ray Microscopy Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D

More information

Section 3 MUST BE COMPLETED BY: 10/17

Section 3 MUST BE COMPLETED BY: 10/17 Test Out Online Lesson 3 Schedule Section 3 MUST BE COMPLETED BY: 10/17 Section 3.1: Cases and Form Factors In this section students will explore basics about computer cases and form factors. Details about

More information

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING Warren W. Flack, Robert Hsieh, Gareth Kenyon Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA wflack@ultratech.com John Slabbekoorn,

More information

The Road to the AMD. Fiji GPU. Featuring Die Stacking and HBM Technology 1 THE ROAD TO THE AMD FIJI GPU ECTC 2016 MAY 2015

The Road to the AMD. Fiji GPU. Featuring Die Stacking and HBM Technology 1 THE ROAD TO THE AMD FIJI GPU ECTC 2016 MAY 2015 The Road to the AMD Fiji GPU Featuring Die Stacking and HBM Technology 1 THE ROAD TO THE AMD FIJI GPU ECTC 2016 MAY 2015 Fiji Chip DETAILED LOOK 4GB High-Bandwidth Memory 4096-bit wide interface 512 GB/s

More information

Extreme Scale Computer Architecture: Energy Efficiency from the Ground Up

Extreme Scale Computer Architecture: Energy Efficiency from the Ground Up Extreme Scale Computer Architecture: Energy Efficiency from the Ground Up Josep Torrellas Department of Computer Science University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu Design, Automation

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Quilt Packaging For Power Electronics

Quilt Packaging For Power Electronics Quilt Packaging For Power Electronics 21 March 2013 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction Quilt Packaging (QP) technology Concept Examples Advantages

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

Power Considerations in High Performance FPGAs. Abu Eghan, Principal Engineer Xilinx Inc.

Power Considerations in High Performance FPGAs. Abu Eghan, Principal Engineer Xilinx Inc. Power Considerations in High Performance FPGAs Abu Eghan, Principal Engineer Xilinx Inc. Agenda Introduction Trends and opportunities The programmable factor 4 focus areas for power consideration Silicon

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Simulating Future Chip Multi Processors

Simulating Future Chip Multi Processors Simulating Future Chip Multi Processors Faculty Advisor: Dr. Glenn D. Reinman Name: Mishali Naik Winter 2007 Spring 2007 Abstract With the ever growing advancements in chip technology, chip designers and

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Exploring Serial Vertical Interconnects for 3D ICs

Exploring Serial Vertical Interconnects for 3D ICs Exploring Serial Vertical Interconnects for 3D ICs Sudeep Pasricha Department of Electrical and Computer Engineering Colorado State University, Fort Collins, CO sudeep@engr.colostate.edu ABSTRACT Three-dimensional

More information