Automated SEM Offset Using Programmed Defects

Size: px
Start display at page:

Download "Automated SEM Offset Using Programmed Defects"

Transcription

1 Automated SEM Offset Using Programmed Defects Oliver D. Patterson, Andrew Stamper IBM Semiconductor Research and Development Center 2070 Route 52, Mail Stop: 46H Hopewell Junction, NY USA Roland Hahn KLA-Tencor 20 Corporate Park Drive, Suite C Hopewell Junction, NY USA Abstract - Defect inspection plays a large role in the development and manufacture of semiconductor technologies. Defects detected in today s inspections tools are generally a fraction of a micron and require SEM review to analyze and justify corrective measures. It is very important that the review SEM drives to the exact location of the defects as a FoV (Field of View) of 2µm is necessary to provide the resolution needed for defect redetection without the inefficiencies associated with repeated zooming of the image. A methodology which allows quick and accurate alignment of the review SEM to the defects in the results file is presented. This methodology uses a special structure containing programmed defects. The methodology is illustrated using the challenging example of PWQ wafers. Keywords- SEM Alignment, defect offset, review SEM, deskew I. INTRODUCTION Optical defect inspection plays a large role in the development and manufacture of semiconductor technologies. Tens of optical inspections are strategically interlaced throughout the process sequence in order to detect, quantify and classify defectivity affecting the wafer. Because of the small feature size of today s technologies, and in turn the small size of critical defects, SEM review is almost always necessary to classify the defects. Redetection of defects by review SEM has become particularly challenging in recent years, again because of the small size of the typical defect. Robust wafer alignment and a common die corner are two necessary factors for successful defect review. Despite excellent review SEM stage accuracy, a small offset between defects across the wafer still exists. This is because of variability in the calibration wafers, temperature, identification of the center of a defect and other factors. Therefore a third parameter, the defect deskew, is also necessary. The process of calculating the defect deskew, also termed defect deskew, may be performed automatically or manually. In addition to correcting offset within a wafer, defect deskew also compensates for a systematic offset between different inspection tools and modes. For example, the coordinate accuracy of darkfield inspection tools gets worse with larger spot size. Also, the coordinate accuracy of bare wafer inspection degrades with higher throughput. The following offsets can be corrected by using an deskew: translation, scaling, rotation and non-orthogonality. To perform an efficient defect deskew, a set of reference defects needs to be selected, relocated and marked on the wafer. Defects detected by the inspection tool are sometimes not visible to the review SEM. When they are, the visible ones are not always well distributed across the wafer as required for an ideal deskew. Deskew is especially difficult for Focus Exposure Matrix (FEM), Process Window Qualification (PWQ) and Process Window Centering (PWC) inspections [1]. The nature of these inspections results in very high defect density and large defects in the higher modulations, making it difficult to reliably locate a suitable set of defects for deskew. In this paper, we propose the use of programmed defects (PD) to assist in the deskew process. This methodology is described in Section II. Application of this methodology to a number of PWQ wafers for comparison with current methods is discussed in Section III. II. METHODOLOGY Traditionally, PDs have been used for calibrating the sensitivity of inspection techniques such as e-beam and brightfield inspection [2,3]. This paper introduces a special structure, called the SEM Alignment Structure, which contains PDs at key levels throughout the process. These include active, deep trench, gate-stack, contact and all the metal and via levels. A small area of the structure layout around the PD at the active and contact levels is shown in Fig. 1. A small area of the metal 1 structure layout around the PD and a corresponding wafer image are shown in Fig. 2. This structure is 58um x 58um so that it can easily fit within the scribe line. The structure must have a repetitive pattern so that it can be inspected in array mode. A random mode inspection will not work for 1x1 reticles, which are common in development, because the PD appears on the same location in each reticle field. The PDs for each level are stacked on top of each other so that only a single PD is detected at each level. Since brightfield can sometimes /11/$ IEEE

2 Figure 1: SEM Alignment Structure design showing the programmed defects at the active (yellow) and contact (pink) levels special program was used to determine the offset of each defect relative to the center of the FoV of the SEM image. These are plotted in Fig. 3. The defect scatter is 3µm. This inaccuracy is caused by the difficulty of selecting the correct defects within an image for deskew. Figure 4 shows the defect map for this wafer. The wafer can be divided into three zones. In Zone 1, all the defects are non-visual. In Zone 3, each FoV is swamped with defects, so reliable selection of the correct defect is impossible. Only Zone 2 contains discrete defects which are useful for SEM deskew. Unfortunately, this area is a small fraction of the entire wafer and so the deskew is poor. Figure 5 shows a case from Zone 1. The difference image shows three differences between reference and defect. Unfortunately, a real defect is not visible under the reviewsem. This defect cannot be used for deskew. SEM non-visual defects can occur on any wafer, not just PWQ wafers. Figure 2: Metal 1 SEM Alignment Structure design (left) and corresponding wafer image (right) detect defects at prior levels, this is necessary. To use the methodology, the inspection must include an array mode test to capture the PDs. All die may be inspected, but it is sufficient to just inspect the die that will be used for deskew. A special class code is assigned to these defects so they may be easily be identified during SEM review for deskew. Currently for the KLA-Tencor edr-5210 review SEM used for this work, relocation of the PDs and then deskew must be done manually, but a software patch to allow this to be done automatically will soon be available. Figure 3: Defect offsets for all SEM visible defects on the metal 1 PWQ wafer III. APPLICATION A. Case 1: Comparison to manual offset using a metal 1 PWQ wafer Application of the SEM Offset Methodology to a metal 1 PWQ wafer is described here to demonstrate the usefulness of this structure. The wafer was inspected with a KLA- Tencor 2825 brightfield inspection tool using KLA-Tencor PWQ methodology. An additional array mode test was added to capture the PDs in the SEM Alignment Structure. The result file was sent to the review SEM. First, manual deskew was performed as accurately as possible using the defects on the wafer other than the programmed defects. Images of all defects were taken. A 1 Zone Zone 3 Figure 4: On PWQ wafers, only defects in Zone 2 are generally useful for deskew. 2 1

3 Zone 3 Zone 2 Zone 1 Figure 7: Defects from a gate-level PWQ wafer. Zone 3 is too defective. Zone 2 is good as the defects are discrete. Zone 1 is bad because of no defects or just non-visual defects. Figure 5: Zone 1: Bottom: optical defect, reference and difference images. Top: review image. The defects in the difference image are just not visible in the SEM image. Figure 8: PDs selected for deskew of the M1 PWQ wafer. Zone 3 Zone 2 Zone 1 Figure 6: Defects from the logic area of a metal wafer. Zone 3 is so defective, the review SEM cannot know which defect to chose. Figure 6 shows images for the same site from the logic area of a metal wafer. Multiple defects appear in the Zone 3 (the higher modulation die) review image. It is impossible to reliably select the correct defect for a proper deskew. Figure 7 further illustrates the type of defects seen in the different zones. These images are from within the SRAM for a gate-level PWQ wafer. Next the programmed defects, at the ideally spaced locations show in Fig. 8, were used to deskew the wafer. After deskew using the SEM Offset Methodology, images of all the defects were taken, and the offsets for these defects were measured again. The results are shown in Fig. 9. The defect scatter is now 0.2um, a very substantial improvement. Figure 9: Defect offsets when the SEM Offset Methodology is used

4 B. Extension to other tools and levels with a deskew file Once the deskew has been calculated for a particular recipe and inspection tool, it is saved in the review recipe in the form of a deskew cache file. This file corrects for the systematic offset between the review SEM and the particular inspection tool. It may also be used for different recipes, different modes and even different inspection tools within a device/product. Figure 10 shows the defect scatter when applying the deskew file obtained using the SEM Offset Methodology across multiple recipes from the same inspection tool. The scatter is 1.5um. Figure 11 shows the defect scatter when applying the deskew file obtained using the SEM Offset Methodology across multiple recipes and multiple inspection tools of the same type. The scatter is 2um. While the scatter is better than in Fig. 3, it is not nearly as good as in Fig. 9. Use of the SEM Offset Methodology for each new wafer, would substantially improve the SEM alignment accuracy down to 0.2um error. An advantage of this would be to be able to take higher resolution images, 1um rather 2um FoV, of the defects. C. Case 2: Comparison to use of a current deskew file with a gate-stack PWQ wafer The SEM Offset Methodology was also compared to existing methodology, where an existing deskew file is used. For this second study, a gate-stack PWQ wafer was studied. Rather than manual deskew, in this case the existing deskew file loaded in the inspection was used. This deskew file was created using a different level and possibly a different inspection tool. Review images of all defects were captured. Again a special program was used to determine the offset of each defect relative to the center of the FoV of the SEM image. Figure 12 shows the defect scatter, which is 1um. The population is offset -0.5um so the greatest offset is also 1um. The SEM Offset Methodology was then applied to this same wafer. Figure 13 shows the defect scatter. The scatter is now 0.4um and perfectly centered. D. Case 3: Review of Voltage Contrast Defects Redetection of voltage contrast (VC) defects from an e- beam inspection (EBI) tool can be difficult. E-beam inspection tools use high beam currents of 25nA or more for VC inspection. Review tools have maximum beam currents of about 1nA. Review of VC defects can be useful as they may be caused by much smaller physical defects only visible with a well centered, high magnification SEM image. Figure 14 shows an example at the gate level for a 28nm bulk technology. The EBI image shows a bright gate line. This defect type can be caused by a variety of issues, some visible from the surface and some not. The low magnification review SEM image shows the bright gate line is barely visible to the eye. Rather than detecting the brighter gate line, the review SEM centers on a small unrelated physical defect, merging of spacer. Even if the physical cause for the VC signal is visible, it would be missed in the high resolution image because it is not in the FoV. Figure 10: Using the same deskew across multiple layers on the same tool Figure 11: Using the same deskew file across multiple layers and tools A special beam condition can be used on the review SEM to enhance the VC signal. The problem is this condition will not be nearly as good at imaging physical defects. Therefore, SEM review of EBI defects such as this is an excellent application for the SEM Offset Methodology. IV. FUTURE PLANS AND SUMMARY An array mode redetection algorithm is being implemented for the KLA-Tencor edr review SEM platform. With this improvement, automatic deskew will be possible for every wafer with a SEM Alignment Macro.

5 ACKNOWLEDGMENT This work was performed at the IBM Microelectronics, Semiconductor Research & Development Center, Hopewell Junction, NY Thanks to Kourosh Nafisi for his help in testing these structures. REFERENCES [1] R Buengener, C Boye, B. N. Rhoads, S. Y. Chong, C. Tejwani, S. D. Burns, A. D. Stamper, K. Nafisi, C. J. Brodsky, S. Fan, Process Window Centering for 22nm Lithography, Proceedings of ASMC, pp , [2] O.D. Patterson, H. Wildman, D. Gal, K. Wu, Detection of Partial Shorts and Opens using Voltage Contrast Inspection, Proceedings of ASMC, pp , [3] H. Xiao, L. Ma, F. Wang, Y. Zhao, J. Jau, K. Selinidis, E. Thompson, S.V. Sreenivasan, D. J. Resnick, Inspection of 32nm imprinted patterns with an advanced e-beam inspection system, BACUS, Figure 12: Defect offset using a stored deskew file Figure 13: Defect offset using the SEM Offset Methodology In this paper, a methodology for fast, accurate alignment of the review SEM to the inspection defect map is presented. This methodology utilizes a special macro containing programmed defects. The methodology was demonstrated using several PWQ wafer. PWQ wafers are one good application, but this methodology can be used for any wafer. The key benefits are 1) the time searching for good defects for SEM offset will be eliminated and 2) defects will be centered with an accuracy of better than 0.2um enabling a 1um FoV image for a better image of the defect. Figure 14: Top left: EBI image showing a bright gate line. Top right: Review SEM image. The bright PC line is barely visible with a standard condition. Bottom: The automatic high magnification review SEM image. If the high magnification image is not centered on the VC defect as in this case, then any physical cause visible at the surface will be missed.

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Inspection of imprint templates Sematech Lithography Workshop May, 2008 Inspection of imprint templates Sematech Lithography Workshop May, 2008 Mark McCord, Tony DiBiase, Bo Magyulan Ian McMackin*, Joe Perez*, Doug Resnick* * Outline Electron beam inspection of templates Optical

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

Inspection System for High-Yield Production of VLSI Wafers

Inspection System for High-Yield Production of VLSI Wafers Inspection System for High-Yield Production of VLSI Wafers Toshimitsu Hamada 1), Jun Nakazato 2), Kenji Watanabe 3), Fumio Mizuno 4), Shizuo Isogai 5) 1) Nasu University, Faculty of Urban Economics 2)

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

12X Zoom. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts.

12X Zoom. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts. Telecentric attachment gives you the world s first parfocal telecentric zoom lens with field coverage up to 50 mm. Increased

More information

Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products

Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products Daniel D. Evans, Jr. and Zeger Bok Palomar Technologies, Inc. 2728 Loker Avenue West Carlsbad,

More information

EBL (Elionix EBeam Lithography System)

EBL (Elionix EBeam Lithography System) EBL (Elionix EBeam Lithography System) (See the Elionix Registration Instruction Manual) Authors: Nigel Carroll & Akshara Verma Date: 12 April 2016 Version: 1.0 The substrate or wafer pattern that you

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

CT Reconstruction with Good-Orientation and Layer Separation for Multilayer Objects

CT Reconstruction with Good-Orientation and Layer Separation for Multilayer Objects 17th World Conference on Nondestructive Testing, 25-28 Oct 2008, Shanghai, China CT Reconstruction with Good-Orientation and Layer Separation for Multilayer Objects Tong LIU 1, Brian Stephan WONG 2, Tai

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

From Eye to Insight FAST DETECTION FAST ACTION. DM3 XL Inspection System. Microelectronics and Semiconductor Industry

From Eye to Insight FAST DETECTION FAST ACTION. DM3 XL Inspection System. Microelectronics and Semiconductor Industry From Eye to Insight Microelectronics and Semiconductor Industry FAST DETECTION FAST ACTION DM3 XL Inspection System The DM3 XL High efficiency for inspection of 6 samples Inspection, process control, or

More information

Overlay accuracy fundamentals

Overlay accuracy fundamentals accuracy fundamentals Daniel Kandel Vladimir Levinski Noam Sapiens Guy Cohen Eran Amit Dana Klein Irina Vakshtein KLA-Tencor Corporation 1 Halavyan Street Migdal Haemek 31 Israel ABSTRACT Currently the

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE. Now See Deeper than ever before

AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE. Now See Deeper than ever before AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE Now See Deeper than ever before Review and inspection of non visible subsurface defects Non visible and subsurface

More information

ksa MOS Ultra-Scan Performance Test Data

ksa MOS Ultra-Scan Performance Test Data ksa MOS Ultra-Scan Performance Test Data Introduction: ksa MOS Ultra Scan 200mm Patterned Silicon Wafers The ksa MOS Ultra Scan is a flexible, highresolution scanning curvature and tilt-measurement system.

More information

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study Ravi Arora Co-Founder & CTO, Graphene Semiconductors India Pvt Ltd, India ABSTRACT: As the world is

More information

Accurate alignment technique for nanoimprint lithography

Accurate alignment technique for nanoimprint lithography Accurate alignment technique for nanoimprint lithography Li Jiang and Martin Feldman * Department of Electrical and Computer Engineering Louisiana State University Baton Rouge, LA 70803-5901 ABSTRACT The

More information

Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography

Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography Jin Choi 1, Kevin Nordquist 2, Ashuman Cherala 1, Lester Casoose 3, Kathy Gehoski 2, William J. Dauksher 2, S.V. Sreenivasan

More information

MicraGEM-Si A flexible process platform for complex MEMS devices

MicraGEM-Si A flexible process platform for complex MEMS devices MicraGEM-Si A flexible process platform for complex MEMS devices By Dean Spicer, Jared Crawford, Collin Twanow, and Nick Wakefield Introduction MicraGEM-Si is a process platform for MEMS prototyping and

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Tilt-corrected stitching for electron beam lithography

Tilt-corrected stitching for electron beam lithography Tilt-corrected stitching for electron beam lithography To appear in Microelectronic Engineering S Thoms* and D S Macintyre Nanoelectronics Research Centre, Department of Electronics and Electrical Engineering,

More information

Hand book for use of library : Start_cmiV4

Hand book for use of library : Start_cmiV4 Hand book for use of library : Start_cmiV4 The library of CMI for layout design has been up-dated, changes are : - V4.3 (27. Feb. 2008) o add cell ELECTRODEP_CIRCLE for electro-plating deposition o add

More information

Transistor Flaring in Deep Submicron Design Considerations

Transistor Flaring in Deep Submicron Design Considerations Transistor Flaring in Deep Submicron Design Considerations Vipul Singhal, Keshav C.B., Sumanth K.G., P.R. Suresh Abstract - The deep sub-micron regime has broughtup several manufacturing issues which impact

More information

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS Robert L. Hsieh, Detlef Fuchs, Warren W. Flack, and Manish Ranjan Ultratech Inc. San Jose, CA, USA mranjan@ultratech.com

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Advances in Disk Metrology

Advances in Disk Metrology Advances in Disk Metrology Robert Kertayasa Zeta Instruments March 2011 www.zeta-inst.com 1909 Concourse Drive San Jose CA 95131 PHONE (408) 577-1888 FAX (408) 577-0588 Agenda Introduction Technology Sample

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009 SUSS MJB4 Manual Aligner For Research, Development and Operator Assisted Production October, 2009 Overview Product Portfolio Aligner MA/BA 8 MA200Compact LithoFab200 MJB4 MA300Plus MA/BA 6 MA150e LithoPack300

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

TELECENTRIC LENSES INNOVATION STARTS HERE... Global Design & Support Rapid Prototyping Volume Manufacturing & Pricing

TELECENTRIC LENSES INNOVATION STARTS HERE... Global Design & Support Rapid Prototyping Volume Manufacturing & Pricing Edmund Optics BROCHURE TELECENTRIC LENSES INNOVATION STARTS HERE... Global Design & Support Rapid Prototyping Volume Manufacturing & Pricing Contact us for a Stock or Custom Quote Today! UK: +44 (0) 1904

More information

TELECENTRIC LENSES INNOVATION STARTS HERE... Global Design & Support Rapid Prototyping Volume Manufacturing & Pricing

TELECENTRIC LENSES INNOVATION STARTS HERE... Global Design & Support Rapid Prototyping Volume Manufacturing & Pricing Edmund Optics BROCHURE TELECENTRIC LENSES INNOVATION STARTS HERE... Global Design & Support Rapid Prototyping Volume Manufacturing & Pricing Contact us for a Stock or Custom Quote Today! USA: +1-856-547-3488

More information

Akrometrix Testing Applications

Akrometrix Testing Applications Akrometrix Optical Techniques: Akrometrix Testing Applications Three full-field optical techniques, shadow moiré, digital image correlation (DIC), and fringe projection (performed by the DFP) are used

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

VCSEL EOS/ESD Considerations and Lifetime Optimization

VCSEL EOS/ESD Considerations and Lifetime Optimization VCSEL EOS/ESD Considerations and Lifetime Optimization Charlie Steidl csteidl@vixarinc.com Contents 1.0 Introduction... 2 2.0 VCSEL ESD Susceptibility and Protection... 2 3.0 ESD Control... 3 4.0 EOS Control

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

The same X-ray transparent in situ pouch cell design and sample holder plates were used for both 2D

The same X-ray transparent in situ pouch cell design and sample holder plates were used for both 2D Electronic Supplementary Material (ESI) for Energy & Environmental Science. This journal is The Royal Society of Chemistry 2014 Supplementary Information Experimental Materials and Electrochemistry The

More information

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D X-ray Microscopy Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

A tutorial for the CAD program LayoutEditor. by Jürgen Thies, Juspertor UG, Munich

A tutorial for the CAD program LayoutEditor.   by Jürgen Thies, Juspertor UG, Munich A tutorial for the CAD program LayoutEditor LayoutEditor is available from www.layouteditor.net by Jürgen Thies, Juspertor UG, Munich M. Rooks, Yale University Common terminology Layers Cells Top-level

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Layout Analysis Embedded Memory

Layout Analysis Embedded Memory Sample Report For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7, Canada Tel: 613.829.0414

More information

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction Soo-Young Lee Auburn University leesooy@eng.auburn.edu Presentation Proximity Effect PYRAMID Approach Exposure Estimation Correction

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Frontiers in CD-SEM metrology

Frontiers in CD-SEM metrology Frontiers in CD-SEM metrology abeam Technologies, Inc. Dr. Sergey Babin, sb@abeamtech.com Hayward, CA, USA CD-SEM in semiconductor CD-SEM is an indispensable part of the semiconductor industry In volume

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

NanoLens AFM and Bruker 3D Microscopes Integrated 1000X Inspection Combines for Maximum Metrology Value

NanoLens AFM and Bruker 3D Microscopes Integrated 1000X Inspection Combines for Maximum Metrology Value NanoLens AFM and Bruker 3D Microscopes Integrated 1000X Inspection Combines for Maximum Metrology Value Outline Introduction/Administrative Overview of Bruker 3D Optical Microscopes Software, Automation

More information

Analysis of Cornell Electron-Positron Storage Ring Test Accelerator's Double Slit Visual Beam Size Monitor

Analysis of Cornell Electron-Positron Storage Ring Test Accelerator's Double Slit Visual Beam Size Monitor Analysis of Cornell Electron-Positron Storage Ring Test Accelerator's Double Slit Visual Beam Size Monitor Senior Project Department of Physics California Polytechnic State University San Luis Obispo By:

More information

P recise Eye. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection.

P recise Eye. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection. Long working distance makes lighting and handling easier. Compact size. Coaxial lighting available

More information

Linescan System Design for Robust Web Inspection

Linescan System Design for Robust Web Inspection Linescan System Design for Robust Web Inspection Vision Systems Design Webinar, December 2011 Engineered Excellence 1 Introduction to PVI Systems Automated Test & Measurement Equipment PC and Real-Time

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Scanning Capacitance Microscopy Investigations of Focused Ion Beam Damage in Silicon

Scanning Capacitance Microscopy Investigations of Focused Ion Beam Damage in Silicon Scanning Capacitance Microscopy Investigations of Focused Ion Beam Damage in Silicon W. Brezna, H. Wanzenböck, A. Lugstein, E. Bertagnolli, E. Gornik, J. Smoliner Institute for Solid State Electronics,

More information

A tutorial for the CAD program LayoutEditor. by Jürgen Thies, Juspertor UG, Munich

A tutorial for the CAD program LayoutEditor.  by Jürgen Thies, Juspertor UG, Munich A tutorial for the CAD program LayoutEditor LayoutEditor is available from www.layouteditor.net by Jürgen Thies, Juspertor UG, Munich M. Rooks, Yale University Common terminology Layers Cells Top-level

More information

Interaction and Balance of Mask Write Time and Design RET Strategies

Interaction and Balance of Mask Write Time and Design RET Strategies Interaction and Balance of Mask Write Time and Design RET Strategies Yuan Zhang a, Rick Gray b, O. Sam Nakagawa c, Puneet Gupta c, Henry Kamberian d, Guangming Xiao e, Rand Cottle e, Chris Progler a Photronics

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Case Study: The Impact of VSB Fracturing

Case Study: The Impact of VSB Fracturing Case Study: The Impact of VSB Fracturing Brian Dillon a and Tim Norris b a Photronics Inc. 61 Millennium Dr. Allen, TX 7513 b MP Mask Technology Center, LLC 8 S. Federal Way Mail Stop 1 Boise, ID 83716

More information

New Opportunities for 3D SPI

New Opportunities for 3D SPI New Opportunities for 3D SPI Jean-Marc PEALLAT Vi Technology St Egrève, France jmpeallat@vitechnology.com Abstract For some years many process engineers and quality managers have been questioning the benefits

More information

Weighted least squares regression for advanced overlay control

Weighted least squares regression for advanced overlay control Weighted least squares regression for advanced overlay control Dana Klein a, John C. Robinson* b, Guy Cohen a, Chin-Chou Kevin Huang b, Bill Pierson b a KLA-Tencor Corporation Israel, Haticshoret St.,

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

Calibration of a portable interferometer for fiber optic connector endface measurements

Calibration of a portable interferometer for fiber optic connector endface measurements Calibration of a portable interferometer for fiber optic connector endface measurements E. Lindmark Ph.D Light Source Reference Mirror Beamsplitter Camera Calibrated parameters Interferometer Interferometer

More information

Application Note #554 VXI Universal Surface Measurements for 3D Optical Microscopes

Application Note #554 VXI Universal Surface Measurements for 3D Optical Microscopes Surface detail of smooth AMOLED substrate Detail of LED wafer and interactive cursors Application Note #554 VXI Universal Surface Measurements for 3D Optical Microscopes MEMS inertial sensor Bruker has

More information

Identification of process phenomena in DMLS by optical inprocess

Identification of process phenomena in DMLS by optical inprocess Lasers in Manufacturing Conference 2015 Identification of process phenomena in DMLS by optical inprocess monitoring R. Domröse a, *, T. Grünberger b a EOS GmbH Electro Optical Systems, Robert-Stirling-Ring

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Using Edge Detection in Machine Vision Gauging Applications

Using Edge Detection in Machine Vision Gauging Applications Application Note 125 Using Edge Detection in Machine Vision Gauging Applications John Hanks Introduction This application note introduces common edge-detection software strategies for applications such

More information

LaserLyte-Flex Red & Green Alignment System

LaserLyte-Flex Red & Green Alignment System LaserLyte-Flex Red & Green Alignment System LaserLyte-Flex The LaserLyte-Flex is an entry-level laser alignment system. It uses interchangeable optics and a semiconductor laser diode to project a highly

More information

Improving Test Throughput with Addressable Arrays and the Agilent N9201A

Improving Test Throughput with Addressable Arrays and the Agilent N9201A Improving Test Throughput with Addressable Arrays and the Agilent N9201A Agilent N9201A Array Structure Parametric Test Option for the Agilent 4070 and 4080 Series Parametric Testers Application Note N9201A-1

More information

Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis

Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis MICROSCOPE 3D ADD-ON FAST PRECISE AFFORDABLE 3D ADD-ON FOR MICROSCOPY Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis Compatible With Transmitted

More information

Sensor Positioning Inside a Linescan Camera

Sensor Positioning Inside a Linescan Camera Sensor Positioning Inside a Linescan Camera Atmel innovates with its Aviiva TM cameras By Jean-Luc Diverchy, Camera Project Manager Summary In product inspection processes, companies often face problems

More information

Flicker Comparison of 2D Electrophoretic Gels

Flicker Comparison of 2D Electrophoretic Gels Flicker Comparison of 2D Electrophoretic Gels Peter F. Lemkin +, Greg Thornwall ++ Lab. Experimental & Computational Biology + National Cancer Institute - Frederick ++ SAIC - Frederick lemkin@ncifcrf.gov

More information

Flicker Comparison of 2D Electrophoretic Gels

Flicker Comparison of 2D Electrophoretic Gels Flicker Comparison of 2D Electrophoretic Gels Peter F. Lemkin +, Greg Thornwall ++ Lab. Experimental & Computational Biology + National Cancer Institute ++ SAIC-Frederick Frederick, MD, USA lemkin@ncifcrf.gov

More information

Use of multiple azimuthal angles to enable advanced scatterometry applications

Use of multiple azimuthal angles to enable advanced scatterometry applications Use of multiple azimuthal angles to enable advanced scatterometry applications Matthew Sendelbach a, Alok Vaid b, Pedro Herrera c, Ted Dziura c, Michelle Zhang c, Arun Srivatsa c a IBM Corporation, 2070

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

Mag.x system 125 A new high end modular microscope. Dr. Ralf Großkloß QIOPTIQ

Mag.x system 125 A new high end modular microscope. Dr. Ralf Großkloß QIOPTIQ Mag.x system 125 A new high end modular microscope Dr. Ralf Großkloß QIOPTIQ Mag.x system 125 A new high end modular microscope Dr. Ralf Großkloß QIOPTIQ Resolution Speed Sensitivity Qioptiq 2011 3 Optical

More information

SPECIALIZED FIBER OPTIC ENDFACE TESTING

SPECIALIZED FIBER OPTIC ENDFACE TESTING SPECIALIZED FIBER OPTIC ENDFACE TESTING PRODUCTS // ACCESSORIES FiBO APPLICATIONS Fiber Optic Connector and Termini Testing Aerospace & military vehicles on location inspection NIST/ISO traceable testing

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

Flicker Comparison of 2D Electrophoretic Gels

Flicker Comparison of 2D Electrophoretic Gels Flicker Comparison of 2D Electrophoretic Gels Peter F. Lemkin +, Greg Thornwall ++ Lab. Experimental & Computational Biology + National Cancer Institute ++ SAIC-Frederick Frederick, MD, USA lemkin@ncifcrf.gov

More information

Advances in Flexible Hybrid Electronics Reliability

Advances in Flexible Hybrid Electronics Reliability Advances in Flexible Hybrid Electronics Reliability LOPEC Smart & Hybrid Systems Munich 3/29/17 This work sponsored in part by Air Force Research Laboratory, Wright-Patterson AFB, for supporting reliability

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Lab2: Single Photon Interference

Lab2: Single Photon Interference Lab2: Single Photon Interference Xiaoshu Chen* Department of Mechanical Engineering, University of Rochester, NY, 14623 ABSTRACT The wave-particle duality of light was verified by multi and single photon

More information

evue Digital Imaging System DATA SHEET FEATURES / BENEFITS

evue Digital Imaging System DATA SHEET FEATURES / BENEFITS evue Digital Imaging System DATA SHEET The evue digital imaging system is optimized for on-wafer test with Cascade Microtech s probe stations. The revolutionary multi-optical path, multi-camera design

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Case Studies of All-Surface Inspection in a 3DI-TSV R&D Environment. Rolf Shervey Sr. Applications Engineer Rudolph Technologies, Inc.

Case Studies of All-Surface Inspection in a 3DI-TSV R&D Environment. Rolf Shervey Sr. Applications Engineer Rudolph Technologies, Inc. Case Studies of All-Surface Inspection in a 3DI-TSV R&D Environment Rolf Shervey Sr. Applications Engineer Rudolph Technologies, Inc. Equipment in Albany Explorer Inspection Cluster AXi 935 for top surface

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

TABLE OF CONTENTS FEATURES. Read manual carefully before using this product! Features 1. Overview 2. Specifications 3. Package Contents 3

TABLE OF CONTENTS FEATURES. Read manual carefully before using this product! Features 1. Overview 2. Specifications 3. Package Contents 3 NO/LRB-7 TABLE OF CONTENTS Features 1 Overview 2 Specifications 3 Package Contents 3 Installation of batteries 4 Operating instructions 4-5 Storage and maintenance 5 Troubleshooting 6 Special light-powerful

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Douglas Sheldon Harald Schone Historical FPGAs have been used in spacecraft for over 10 years.

More information

FEI Helios NanoLab 600 TEM specimen prep recipe Nicholas G. Rudawski (352) (office) (805) (cell) Last updated: 07/16/18

FEI Helios NanoLab 600 TEM specimen prep recipe Nicholas G. Rudawski (352) (office) (805) (cell) Last updated: 07/16/18 FEI Helios NanoLab 600 TEM specimen prep recipe Nicholas G. Rudawski ngr@ufl.edu (352) 392 3077 (office) (805) 252-4916 (cell) Last updated: 07/16/18 This recipe is essentially a composite of several established

More information

Post-Process Process CMOS Front End Engineering With Focused Ion Beams

Post-Process Process CMOS Front End Engineering With Focused Ion Beams Post-Process Process CMOS Front End Engineering With Focused Ion Beams A. Lugstein 1, W. Brezna 1, B. Goebel 2, L. Palmetshofer 3, and E. Bertagnolli 1 1) Vienna University of Technology, Floragasse 7,

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA Total Inspection Solutions Ensuring Known-Good 3DIC Package Nevo Laron, Camtek USA, Santa Clara, CA Density Packaging Trends vs. Defect Costs Functionality Package Yield 3DIC yield statistics 101 1.00

More information

ACELLENT SOFTWARE CATALOG

ACELLENT SOFTWARE CATALOG ACELLENT SOFTWARE CATALOG Software Acellent's software works in tandem with our sensors and hardware to detect and characterize structural anomalies in metals and composites due to the presence of cracks,

More information

6 AXIS ROBOTIC ABRASIVEJET ADVANCEMENTS IN ACCURACY FOR QUALITY AND PRODUCTION

6 AXIS ROBOTIC ABRASIVEJET ADVANCEMENTS IN ACCURACY FOR QUALITY AND PRODUCTION 2007 American WJTA Conference and Expo August 19-21, 2007 Houston, Texas Paper 6 AXIS ROBOTIC ABRASIVEJET ADVANCEMENTS IN ACCURACY FOR QUALITY AND PRODUCTION Duane Snider Flow Automation Applications Group

More information

Meterless Laser Power/Energy Measurement Simplifies Embedding

Meterless Laser Power/Energy Measurement Simplifies Embedding White Paper Meterless Laser Power/Energy Measurement Simplifies Embedding Traditional laser power and energy measurement instruments typically comprise a sensor head connected to separate meter electronics.

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information