Applications for Mapper technology Bert Jan Kampherbeek

Size: px
Start display at page:

Download "Applications for Mapper technology Bert Jan Kampherbeek"

Transcription

1 Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO

2 Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications Fab lifetime extension (technology innovation in an existing fab) Truly unique chips Photonics Unlimited field size Maskless lithography / cost advantage Applications for Mapper technology 2

3 Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications Fab lifetime extension (technology innovation in an existing fab) Truly unique chips Photonics Unlimited field size Maskless lithography / cost advantage Applications for Mapper technology 3

4 Mapper makes e-beam direct write for volume manufacturing possible Traditional e-beam Mapper FLX FLX extension 1 electron beam per system No scaling with 50keV No optical alignment 65,000 beamlets per unit Scaling with 5keV Compatible, optical, alignment 650,000 beamlets per unit Evolution on the same platform Unit clustering for >40 wph No full wafer placement accuracy Matching to DUV and 193i < 25 full 300 mm wafers per month wafers per month (300 mm) >5,000 wafers per month/unit Throughput proportional to pattern density and resolution Lab use only Throughput independent of pattern density and resolution nm - 28nm logic node It takes minutes only to expose a wafer at <50nm 28nm logic node and below Applications for Mapper technology 4

5 FLX is Mapper s 3 rd generation EBDW platform, currently entering the market Demonstrator kev 110 beams 0.6µm blanker 45 nm half-pitch Small field, no positioning No metrology Pre-alpha kev 110 beams 0.6µm blanker nm half-pitch Wafer stage Advanced metrology and dose control FLX-series now 5 kev 65,000 beams N65 blanker 42 nm half-pitch Full field and full wafer Compatible, optical, alignment and matching Manual wafer handling 100 wafers exposed FOUP handling >2,500 wafers exposed wafers per month/unit (300mm) system built R&D tool shipped to customers Production tool 5 systems built N40 N28 nodes Applications for Mapper technology Track integration 5

6 Basic operation Beam generator Blanker detail: one of many thousands of apertures, made in 65nm TSMC e Condenser lens and blanker Wafer Projection optics Pixel on The electron optics have no central crossovers making them intrinsically insensitive to Coulomb forces (electron repulsion) Pixel off The electron optics are modular and much cheaper than high-na DUV optics, and can be replaced or upgraded in the field Alignment targets in scribe lanes Wafer exposure happens one column at a time and always in the same direction no need to meander Focus / leveling is performed during stage fly-back to reduce metrology overhead Exposure scan 1 Exposure scan 2 Exposure scan 3 Exposure scan 4 Each column is aligned separately, with dedicated alignment targets Applications for Mapper technology 6

7 Optical fiber bundle for data transfer to beam blanker More than 800 fibers connected to first blanker chip 3,000,000 megapixels per second streaming rate Final configuration will have 30 x 10 6 megapixels per second transfer rate Electrons Beam blanker chip (behind shield) Applications for Mapper technology 7

8 Development status: full column operational at CEA-LETI as of August k beams in 13x2 mm 2 slit. First exposures after upgrade to fully programmable blanker: 60 nm HP (N40) Good for market entry Getting close to covering a full 300 mm wafer in 60 minutes Applications for Mapper technology 40 nm HP (sub N28) Some improvements still needed 8

9 FLX imaging results: 60 nm VIA process window, unbiased Applications for Mapper technology 9

10 Demonstration of resolution extendibility with 5 kev SRAM M1, 38 nm half-pitch Lines M2, 36 nm half-pitch Dense logic M1, 32 nm half-pitch Pre-alpha tool 32nm 28nm 24nm 22nm 20nm 18nm 16nm Applications for Mapper technology 10

11 Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications Fab lifetime extension (technology innovation in an existing fab) Truly unique chips Photonics Unlimited field size Maskless lithography / cost advantage Applications for Mapper technology 11

12 FLX is designed for high-volume specialties and low-volume advanced logic 1. Wafer fab extension Extend resolution or density below 100 nm in 200 mm fabs NVRAM capacity increase in existing processes RF, mmwave and 5G applications 2. Truly unique chips 3. Large field size 4. Maskless lithography Logic level per-chip diversification in volume manufacturing Data security Identification and traceability Anti-counterfeiting Mapper has no true field size limitation Large field image sensors MEMS FLX supports the full product life-cycle: from R&D and rapid prototyping, to full-fledged manufacturing 10M to 100M OPEX savings per fab by eliminating costly critical masks N45 and below for volumes up to 1,000 wafers per design Specialized low volume applications Long tail extension and Industry 4.0 applications 5. Full 2D patterning E-beam has no low-k 1 restrictions at the highest resolutions Layer reduction in N28 and below Photonics Applications for Mapper technology 12

13 Wafer fab technology extension There are very few good options for upgrading lithography capability in existing 200 mm fabs using optical lithography Conversion to 300 mm is prohibitively expensive Using 300 mm litho tools in 200 mm mode is also expensive and inefficient Mapper can boost lithographic performance from ~100 nm to 45 nm and below No need for expensive OPC masks or multiple patterning techniques Can be used for the critical FEOL layers only, while continuing to use existing optical litho tools for non critical FEOL and BEOL layers The investment in Mapper is relatively modest, and can be recovered in the elimination of expensive FEOL reticles Source: Global 200mm Fab Outlook, SEMI October 2015 Applications for Mapper technology 13

14 Mapper can break barriers between technology nodes Technology node Optical lithography Mapper / maskless 110 KrF ArF dry FLX series ArF immersion Multiple patterning Next gen Ref: More than Moore / TSMC Applications for Mapper technology 14

15 Fab lifetime extension with selected features Mapper feature Application Increased resolution Shrink SiGe transistor base for increased f T and bandwidth (5G) Increased density Increase NVRAM capacity in existing design Large focus range Mixed applications on chip Improve transistor performance 28 nm node compatible CDu Image sensors No geometry restrictions Full-2D patterning: any pitch, any orientation, any CD, in any combination Photonics applications, wave guides X-routing in metal layers (45, 33, etc..) Layer count reduction Virtual node shrink, esp. at 28 nm Wide spectrum imaging Resolution and density shrink True node shrink for logic in Cu-enabled fab, without ArF/ArF-i Applications for Mapper technology 15

16 Technology migration Example <90nm SiGe technology on 8 Basic SiGe transistor (and M1) using Mapper for small feature size and (much) higher f T Improved lateral control Baseline CMOS and M2-M6 stack keeps using conventional M130 flow 60 nm bipolar transistor incl. Ge implant (e-beam) Mapper layers Applications for Mapper technology 16

17 Technology migration: ROM and structured ASIC M28 old ROM Mask ROM via (1 expensive OPC mask) M28 Back End M2-M11 (20 mask) M28 new with Mapper ROM via layer (1 Mask-free Mapper) M28 CMOS Front End up to M1 Dual oxide for LV (0.9V) and MV (1.3V) transistors (30 immersion mask with OPC) Mapper layers Mapper layer replaces very expensive ROM-via programming layer in nodes where Flash is not available Classical optical mask very expensive due to closely spaced repetitive via pattern Mapper has no problem with these patterns and could even allow smaller ROM dimensions Mapper layer has a much faster turn-around time due to 100% software; one day cycles possible Eliminate need to add external memory simpler and lower cost devices Applications for Mapper technology 17

18 Mapper tool can generate unique pattern for every chip Data security Industrial infrastructure IoT gadgets Digital rights management Mobile storage Smart cards Traceability Anti-counterfeiting Automotive Aviation Medical Postal Retail Defense spare IC s for 20+ year old equipment Luxury goods Bank bills, coins Wafer IC design Unique block Applications for Mapper technology 18

19 Applications of truly unique chips Individualized chip feature Benefit using Mapper Unchangeable codes per chip Extremely small area No need for one-time memory cells, circuitry and fuses Hard to read through side-channels Unique logic modules per chip Added layer of security. Not possible with masks Embedded visual chip ID Anti-counterfeiting. Not possible with masks Randomized metal patterns Added layer of security. Not possible with masks Maskless ROM per IC or product Affordable in advanced nodes where masks are expensive and flash not available. Eliminate external boot ROM. Hard to read through side-channels. Applications for Mapper technology 19

20 Example application, chip individualization in via layer 2 mm 2 chip (not to scale) One unique sub-block Unique area Common area / Metal-N Metal-(N+1) Via-N / Missing via Per-chip uniquely wired area Applications for Mapper technology 20

21 Technology migration: Every chip unique M40 old ROM Mask M40 Back End M3-M9 (14 mask) M40 new with Mapper Secure block layers (1 via layer) M40 CMOS Front End up to M2 Dual oxide for LV (0.9V) and MV (1.3V) transistors (28 immersion mask with OPC) Mapper layers Mapper allows hard-wired, per chip unique IP E.g. security code generator Leaves all other parts off technology mask stack unchanged No additional mask costs, only additional processing time This example assumes secure IP on top of GO1+M1+M2 fixed block structure One via layer with Mapper Many variations possible Applications for Mapper technology 21

22 Multiple process options for unique via layers per chip Source: Mapper customer case study for a 130nm IoT device Option 1. Minimal change to existing flow Option 2: Single CVD & CMP using hard-mask Option 3: Lowest cost per layer Applications for Mapper technology 22

23 Multi e-beam is ideal for bringing photonics devices to volume production High throughput and high resolution Absence of Rayleigh limits gives unrestricted freedom of patterning: - Curved and non-gridded designs - Combining different feature sizes - Combining different orientations - Combining different pitches Large writing area with accurate pattern placement Si and III-V substrates Applications Multi-spectral light filters Bragg gratings Any sub-wavelength features Applications for Mapper technology 23

24 Unlimited field size The Mapper exposure area is limited only by the wafer size and by memory capacity of the write-file storage. Mapper can eliminate costly and technically challenging stitching protocols used for large area devices Eliminates intra-die CD and registration errors Eliminates very costly multiple reticle sets for each patterning layer Mapper Can be expanded to full wafer size with memory upgrades Application examples: High-end photography Next generation automotive sensors Template manufacturing Medical Wafer Stepper, 22 x 22 mm Scanner, 33 x 26 mm Applications for Mapper technology 24

25 TCO savings for long tail products in typical 65-28nm fabs Benefits of maskless lithography in the tail Offloading of high capacity systems Process split approach Lowers the threshold for customized products in advanced technology Potential to extend the tail with new products / business Mask cost savings in existing tail Maximize utilization of FLX system in combination with segment 1-3, payback in <2 years De-risking of development for new products 5 wph/unit 2 wph/unit 1 wph/unit Applications for Mapper technology 25

26 Technology migration: small series Mapper layer replaces a limited number of most expensive optical masks In 65nm the (4) most expensive masks make up 20% of total mask set cost Percentage goes up for smaller nodes due to double or quadruple patterning; 16 mask for 1M$+ cost Using Mapper for the most critical masks of (initially) low volume products substantially lowers introduction costs for customers Mapper layers Applications for Mapper technology 26

27 Thank you Applications for Mapper technology 27

High Throughput Maskless Lithography

High Throughput Maskless Lithography High Throughput Maskless Lithography Sokudo lithography breakfast forum July 14 th 2010 Bert Jan Kampherbeek, VP Market Development and co-founder Agenda MAPPER s Objective MAPPER s Status MAPPER s Roadmap

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Strengthening the leadership

Strengthening the leadership Strengthening the leadership Press conference, SEMICON West 2005 Martin van den Brink, Executive Vice President ASML / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014 Market Update Peter Jenkins Vice President, Marketing 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

FBR Capital Markets 12 th Annual Spring Investor Conference

FBR Capital Markets 12 th Annual Spring Investor Conference FBR Capital Markets 12 th Annual Spring Investor Conference ASML continues to execute its leadership strategy Craig De Young VP Investor Relations and Corporate Communications New York City - May 28-29,

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Intel Corporation Silicon Technology Review

Intel Corporation Silicon Technology Review Intel Corporation Silicon Technology Review Ken David Director, Components Research SEMI Strategic Business Conference April 2003 Agenda Corporate Mission Leadership in Technology Leadership in Integration

More information

International Technology Roadmap for Semiconductors

International Technology Roadmap for Semiconductors International Technology Roadmap for Semiconductors 2007 ITRS ORTC [12/5 Makuhari Japan ITRS Public Conference] A.Allan, Rev 0.0 (For IRC Review) 10/29/07 1 Agenda Moore s Law and More Definitions Technology

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY Semiconductor Link Processing & Ultra-Thin Semi Wafer Dicing Louis Vintro VP & General Manager, Semiconductor Products Division Semiconductor Link Processing

More information

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017 3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE OVERAL GOAL OF THIS TALK Hybrid bonding 3D sequential 3D VLSI technologies (3D VIA Pitch

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS

USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS November 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Using Low

More information

Rimon IKENO, Takashi MARUYAMA, Tetsuya IIZUKA, Satoshi KOMATSU, Makoto IKEDA, and Kunihiro ASADA

Rimon IKENO, Takashi MARUYAMA, Tetsuya IIZUKA, Satoshi KOMATSU, Makoto IKEDA, and Kunihiro ASADA High-throughput Electron Beam Direct Writing of VIA Layers by Character Projection using Character Sets Based on One-dimensional VIA Arrays with Area-efficient efficient Stencil Design Rimon IKENO, Takashi

More information

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Equipment Market Segmentation

Equipment Market Segmentation Global Economic Symposium Equipment Market Segmentation Robert Wright July 14, 2000 Equipment Market Segmentation Static Models Equipment capital - Single Fab Product & Technology node breakout 130nm Equipment

More information

Credit Suisse European Technology Conference

Credit Suisse European Technology Conference Credit Suisse European Technology Conference Franki D Hoore Director European Investor Relations May 12, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. July 10, 2018 SE L1

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. July 10, 2018 SE L1 1 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd. Accelerated innovation through strategic collaboration: a view from an equipment supplier Olivier Vatel SCREEN Semiconductor Solutions Co., Ltd.

More information

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool EUV Litho Workshop 2017 WIR SCHAFFEN WISSEN HEUTE FÜR MORGEN P. Helfenstein a, I. Mochi a, R. Rajendran a, S. Fernandez a, S. Yoshitake b, Y. Ekinci a a Paul Scherrer Institut, Switzerland b NuFlare Technology

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. March 1, 2018 SE L1

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. March 1, 2018 SE L1 Accelerated innovation through strategic collaboration: a view from an equipment supplier Olivier Vatel SCREEN Semiconductor Solutions Co., Ltd. CTO March 1, 2018 1 SE-77-3018-L1 SCREEN Semiconductor Solutions

More information

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing Elvino da Silveira Agenda Introductions & Trends Consumer products driving AMOLED Adoption! Lithography Challenges Devices

More information

NanoLens AFM and Bruker 3D Microscopes Integrated 1000X Inspection Combines for Maximum Metrology Value

NanoLens AFM and Bruker 3D Microscopes Integrated 1000X Inspection Combines for Maximum Metrology Value NanoLens AFM and Bruker 3D Microscopes Integrated 1000X Inspection Combines for Maximum Metrology Value Outline Introduction/Administrative Overview of Bruker 3D Optical Microscopes Software, Automation

More information

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI MLI INTRODUCTION GUIDE Table of Contents MLI, the Company Introduction of MLI Why MLI MLI Test Equipments Pellicle Introduction Pellicle Film Transmission Pellicle Mounting Tool MLI Quality System 3 4

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young VP Investor Relations and Corporate Communications March

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia) Sub-wavelength Holographic Lithography: The Possibilities And Advantages Mikhail V. Borisov, Dmitriy A. Chelyubeev, Vitalij V. Chernik, Alexander A. Gavrikov, Dmitriy Yu. Knyazkov, Petr A. Mikheev, Vadim

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 Sub-Wavelength Holographic Lithography SWHL NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 EXECUTIVE SUMMARY SWHL is a new, alternative low cost approach to lithography SWHL is suitable for all

More information

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Outline Key technologies for future CMOS imagers Bottlenecks for high speed imaging Our proposal Take home message Oct 12, 2017 Photon-to-Photon

More information

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS Robert L. Hsieh, Detlef Fuchs, Warren W. Flack, and Manish Ranjan Ultratech Inc. San Jose, CA, USA mranjan@ultratech.com

More information

AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE. Now See Deeper than ever before

AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE. Now See Deeper than ever before AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE Now See Deeper than ever before Review and inspection of non visible subsurface defects Non visible and subsurface

More information

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014 EUV Frits van Hout Executive Vice President & Chief Program Officer 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011 UBS Technology Conference Franki D Hoore - Director European Investor Relations London, March 10, / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of

More information

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing Elvino da Silveira Agenda Introductions & Trends Consumer products driving AMOLED Adoption! Lithography Challenges Devices

More information

Advanced microprocessor systems

Advanced microprocessor systems Advanced microprocessor systems Microprocessor Evolution First Transistor Discrete Transistors Bipolar FET Planar Transistors BJT FET 1971 1972 10,000 nm 10,000 nm 1978 1985 8086 29000 transistors 3000

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

FlexLogIC Manufacturing innovation by PragmatIC

FlexLogIC Manufacturing innovation by PragmatIC FlexLogIC Manufacturing innovation by PragmatIC Introductory presentation www.flexlogic.systems The FlexLogIC programme has received funding from the European Union s Horizon 2020 SME Instrument (grant

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets 0.35µm, 0.18µm MPW services http://mycmp.fr Grenoble - France Available Processes Process Name Process Feature C35B4C3 0.35µm CMOS 3.3V / 5.0V C35B4C2 0.35µm CMOS 3.3V C35B4O1 C35B4OA

More information

When it comes to double-density Flash memory, some pairs are just better.

When it comes to double-density Flash memory, some pairs are just better. MirrorBit Flash When it comes to double-density Flash memory, some pairs are just better. AMD pairs high-performance with reliability in a single Flash memory cell, with revolutionary results. Introducing

More information

TMT Conference 2011 Bank of America

TMT Conference 2011 Bank of America TMT Conference 2011 Bank of America London Franki D Hoore, Director European Investor Relations June 7, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform

More information

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Inspection of imprint templates Sematech Lithography Workshop May, 2008 Inspection of imprint templates Sematech Lithography Workshop May, 2008 Mark McCord, Tony DiBiase, Bo Magyulan Ian McMackin*, Joe Perez*, Doug Resnick* * Outline Electron beam inspection of templates Optical

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

FUSION- Modular Interchangeable Lens System

FUSION- Modular Interchangeable Lens System Illuminators Video Tunable FUSION- Modular Interchangeable Lens System High-Magnification Imaging Wafer Processing MEMS Development Non-Contact Metrology Fiber Alignment Analytical Probing Solder Bump

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager Cutting Power Consumption in HDD Electronics Duncan Furness Senior Product Manager Situation Overview The industry continues to drive to lower power solutions Driven by: Need for higher reliability Extended

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

Managing the downturn, Ready for the Upswing

Managing the downturn, Ready for the Upswing Managing the downturn, Ready for the Upswing Scott McGregor President and Chief Executive Officer Agenda for today Managing the downturn, ready for the upswing Scott McGregor, President and CEO, Focus

More information

OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS

OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS Table 1a Product Generations and Chip Size Model Technology Nodes Near-term Years... 2 Table 1b Product Generations and Chip Size Model Technology

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

FOUR WAYS TO LOWER THE COST OF REPLICATION

FOUR WAYS TO LOWER THE COST OF REPLICATION WHITE PAPER I JANUARY 2010 FOUR WAYS TO LOWER THE COST OF REPLICATION How an Ultra-Efficient, Virtualized Storage Platform Brings Disaster Recovery within Reach for Any Organization FOUR WAYS TO LOWER

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR P. Boulenc 1, J. Robbelein 1, L. Wu 1, L. Haspeslagh 1, P. De Moor 1, J. Borremans 1, M. Rosmeulen 1 1 IMEC, Kapeldreef 75, B-3001 Leuven, Belgium Email: pierre.boulenc@imec.be,

More information

CMOS SENSOR SYSTEM FOR AIR QUALITY MONITORING

CMOS SENSOR SYSTEM FOR AIR QUALITY MONITORING European Network on New Sensing Technologies for Air Pollution Control and Environmental Sustainability - TD1105 INTERNATIONAL WG1-EuNetAir COST Action WG4 MEETING on New Sensing Technologies and Modelling

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

TABLE OF CONTENTS PRODUCT DESCRIPTION CINCAM CCD TECHNICAL DATA SENSOR RESPONSE DIMENSIONS CINCAM CCD LARGE FORMAT TECHNICAL DATA SENSOR RESPONSE

TABLE OF CONTENTS PRODUCT DESCRIPTION CINCAM CCD TECHNICAL DATA SENSOR RESPONSE DIMENSIONS CINCAM CCD LARGE FORMAT TECHNICAL DATA SENSOR RESPONSE TABLE OF CONTENTS PRODUCT DESCRIPTION CINCAM CCD TECHNICAL DATA SENSOR RESPONSE DIMENSIONS CINCAM CCD LARGE FORMAT TECHNICAL DATA SENSOR RESPONSE DIMENSIONS CINCAM CMOS TECHNICAL DATA SENSOR RESPONSE DIMENSIONS

More information

LSN 6 Programmable Logic Devices

LSN 6 Programmable Logic Devices LSN 6 Programmable Logic Devices Department of Engineering Technology LSN 6 What Are PLDs? Functionless devices in base form Require programming to operate The logic function of the device is programmed

More information

5th Edition. Optem FUSION. Extreme Micro-Imaging Versatility

5th Edition. Optem FUSION. Extreme Micro-Imaging Versatility 5th Edition Optem FUSION Extreme Micro-Imaging Versatility 1 High-Performance... High-Magnification Wafer Processing MEMS Development Non-Contact Metrology Fiber Alignment Analytical Probing Solder Bump

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Imaging Solutions by Mercury Computer Systems

Imaging Solutions by Mercury Computer Systems Imaging Solutions by Mercury Computer Systems Presented By Raj Parihar Computer Architecture Reading Group, UofR Mercury Computer Systems Boston based; designs and builds embedded multi computers Loosely

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information