Effect of Aggressor Driver Width on Crosstalk for Static and Dynamic Switching of Victim Line

Size: px
Start display at page:

Download "Effect of Aggressor Driver Width on Crosstalk for Static and Dynamic Switching of Victim Line"

Transcription

1 ICCCT 1 Effect of Aggressor Driver Width on Crosstalk for Static and Dynamic Switching of Victim Line Devendra Kumar Sharma1, B.K.Kaushik2, and R.K.Sharma3 1 Department of Electronics and Communication Engineering, Meerut Institute of Engineering and Technology, Meerut 2 Department of Electronics and Computer Engineering, Indian Institute of Technology, Roorkee Department of Electronics and Communication Engineering, National Institute of Technology, Kurukshetra ( d_k_s197@yahoo.co.in; bkk23fec@iitr.ernet.in; mail2drrks@gmail.com) Abstract: In DSM technology, unintended interactions between signals propagating through interconnect turn out to be critical design concern. At technology nodes below.25µm, the performance and correctness of a design cannot be assured without considering noise effects. In integrated circuits, the main cause of signal integrity problems is crosstalk. This paper presents the effects of aggressor driver width variation on crosstalk noise in RLC coupled interconnects for static and dynamic switching of victim line. To demonstrate these effects, two distributed RLC interconnects coupled inductively and capacitively are taken into consideration. The length of interconnect is taken as 4mm and far end capacitive loading is 3 ff. The SPICE waveforms are generated at far end of victim line for varying width of aggressor driver PMOS from 2µm to 12µm in steps of 2µm. The corresponding NMOS width is half of PMOS. The victim driver width is kept fixed at 4µm for PMOS and 2µm for NMOS. The simulation is carried out at.13µm, 1.5V technology node. Three different cases of input switching are considered. It is observed that level of crosstalk noise for static and dynamic switching of victim line increases with driver width. Keywords: Dynamic switching, Crosstalk, Driver width I. INTRODUCTION The semiconductor industry has been fueled by enhancements in integrated circuit (IC) density and performance, resulting in information revolution for over four decades and is expected to continue in future. The periodic improvement in density (as per Moore s Law) and performance has, been mainly achieved through aggressive device scaling and/or increase in chip size. The shrinking feature size of MOSFET devices is largely responsible for growth of VLSI circuits [1, 2]. The performance parameters such as crosstalk, delay and power dissipation of a high speed chip is highly dependent on the interconnects which connect different macro cells within a VLSI chip [3-14]. To escape prohibitively large delays, designers scale down global wire dimensions more sluggishly than the transistor dimensions [7]. As technology advances, interconnects have turned out to be more and more important than the transistor resource, and it is essential to use global interconnects optimally. For high-speed high-density chips of submicron geometry, it is mostly the interconnections rather than the device performance that determines the chip performance. As per International Technology Roadmap for Semiconductors (ITRS) [15], the gap between interconnection delay and gate delay will increase to 9:1 at 65nm technology and on-chip wire length is expected to increase to 2.22 km/cm 2 by the year 21. Wide wires are frequently encountered in clock distribution networks, power and ground lines and other global interconnects such as data bus and control lines in upper metal layers. These wires, being low resistive lines exhibit significant inductive effects [16, 17] at high frequencies. So, due to the presence of these inductive effects, the RLC distributed model of interconnect equivalent to transmission line is more effective in current scenario. The RLC transmission lines, when running parallel to each other in the same plane or on different planes have capacitive and inductive coupling which causes crosstalk noise. In multilayer interconnect structures, wires on adjacent layers are routed orthogonally to minimize the crosstalk. This crosstalk noise or coupling noise depends on the spacing between interconnects, frequency of operation, length of interconnect, switching pattern and transition time of input. The crosstalk or coupled noise induces unpredictable delays and noise glitch which may lead to logical errors and can cause functional failure [18, 19]. The effect of crosstalk induced overshoot and undershoot generated at circuit node can cause false switching when the magnitude of overshoot or undershoot generated is beyond the threshold voltage of the gate. The peak overshoot and undershoot can wear out the thin oxide layer on chip resulting in its permanent failure. So, crosstalk becomes an important parameter of consideration in interconnect design. The crosstalk faults can be minimized by resizing drivers, shielding interconnects, rerouting signals, bus encoding [11] and repeater insertion techniques [2, 21]. Researchers have reported the analysis of crosstalk noise in different ways. Kaushik et al. analyzed crosstalk noise for many cases of switching interconnects [2, 22, 23]. Authors have reported the effects of aggressor driver width on crosstalk incase of static victim line [23]. Shahin et al. [24] and Verma et al. [6] analyzed crosstalk considering process variations. A comprehensive survey /1/$ IEEE 667

2 ICCCT 1 and review of noise in interconnects in UDSM technology is presented in [25]. This paper observes the effect of aggressor driver width variation on the crosstalk noise at far end of victim line for both static and dynamic switching scenarios by taking three different cases viz. (i) Aggressor is switching Fig. 1. Interconnect Model for Simulation from high to low and victim is static high. (ii) Both inputs are switching in same phase from high to 1.722μ 1.4μ low L = (iii) Both inputs are switching in opposite phase i.e. 1.4μ 1.722μ aggressor is switching from high to low and victim is switching from low to high. Fig. 2. Interconnect Parameters As an example, R a is calculated as The crosstalk noise is observed at far end of victim line at node Vout2. The peak overshoot and undershoot at different aggressor driver width is observed. The results are obtained through SPICE simulations. II. EXPERIMENTAL AND SIMULATION SETUP For our studies, two distributed coupled RLC lines model are used for the simulation as shown in Fig. 1. The distributed RLC model is the most accurate approximation of the actual behavior than the traditional lumped RLC model [2]. The interconnect length is taken as 4mm. Each line of the coupled interconnect is 2µm wide,.68µm thick and separated by.24µm [2]. Twenty distributed lumps of Gamma-type are considered for the complete interconnect length. The capacitance and inductance values are obtained from closed form expressions available in [26-28]. At far end of lines, CMOS load is replaced by 3fF capacitor. In Fig. 1, R a, L a and C a are the resistance, inductance and capacitance per lump of line-1 and R v, L v and C v are the parameters per lump of line-2 respectively. M av and C av are mutual inductance and coupling capacitance per lump of lines. The interconnect parameters for one meter length is shown in Fig ,5 R = 12,5 19p C = 64p 64p 19p 12,5 4 Ω. 1 2 Similarly, other parameters of line are obtained. Our simulations use an IBM.13µm technology with copper interconnect process (MOSIS) and V dd =1.5V. The transition time of the input ramp is 25ps. The width of victim driver PMOS and NMOS are 4 µm and 2µm respectively and the width of aggressor driver PMOS is varied from 2 to 12µm in steps of 2µm, keeping the corresponding NMOS width half of PMOS width. III. SIMULATION RESULTS AND DISCUSSIONS In this section, the effects observed by variation of aggressor driver width from 2µm to 12µm on crosstalk noise in victim line under different conditions of inputs switching are discussed. The peak overshoot and undershoot at output node in the model with varying aggressor driver width are also observed. The waveforms for all three cases considered for our study are shown in Fig. 3 to Fig. 5. It is observed from these figures that the peak (positive and negative both) of crosstalk noise increases with increasing PMOS channel width of aggressor driver in all three cases of inputs switching. This is because of the fact that with increase in driver width, the driver resistance decreases causing increase in current driving capability of the driver, so crosstalk noise increases. The interconnect designers often increase 668

3 ICCCT 1 Case (i) Aggressor is Switching from high to low and victim is static high (Fig. 3(a), 3(b), 3(c)).8 Crosstalk noise w ith varying Aggr. driver w idth Victim output Vout2, Volts Width=2u Width=4u Width=6u Width=8u Width=1u Width=12u Time (ps) Fig. 3(a) Crosstalk noise with varying aggressor driver width at far end of victim line Peak overshoot vs. Aggr. driver width Peak undershoot vs Aggr. driver width Peak overshoot (volts) Peak undershoot (volts) Width (um ) Fig. 3(b) Peak overshoot with varying aggressor driver width Fig. 3(c) Peak undershoot with varying aggressor driver width 669

4 ICCCT 1 Case (ii) Both inputs are switching from high to low (Fig. 4(a), 4(b), 4(c)) Peak overshoot vs Aggr. driver width Peak undershoot vs Aggr. driver width Peak overshoot (Vlts) Peak undershoot (Volts) Fig. 4(b) Peak overshoot with varying aggr. driver width Fig. 4(c) Peak undershoot with varying aggr. driver width 2.5 Crosstalk affected signal w ith vaying Aggr. driver w idth 2 Victim voltage Vout2, Volts Time (ps) Width=2u Width=4u Width=6u Width=8u Width=1u Width=12u Fig. 4(a) Crosstalk noise affected signal at far end of victim line 67

5 ICCCT 1 Case (iii) Aggressor is switching from high to low and victim from low to high (Fig. 5(a), 5(b), 5(c)) Peak overshoot vs Agg. driver width Peak undershoot vs Aggr. driver width Peak overshoot (Volts) Peak undershoot (Volts) Fig. 5(b) Peak overshoot with varying aggr. driver width Fig. 5(c) Peak undershoot with varying aggr. driver width 2 Crosstalk affected signal with varying Aggr. driver width Victim voltage Vout2, Volts Width=2u Width=4u Width=6u Width=8u Width=1u Width=12u -1 Time (ps) Fig. 5(a) Crosstalk noise affected signal at far end of victim line 671

6 ICCCT 1 driver width to reduce propagation delay which may lead to increase in crosstalk level. It is also observed that, in dynamic switching, peak overshoot is more pronounced in case (ii) when both inputs are switching in the same phase, however it is less in case (iii) when the inputs are switching in opposite phase. So, the propagation delay in case (iii) will be more as compared to case (ii). This is because of Miller effect. Further more, in dynamic switching of inputs, the negative peak is more in case (iii). IV. CONCLUSION For capturing the effect of driver width on crosstalk noise, SPICE simulations are run and various waveforms are obtained for different switching scenarios. The results obtained very well demonstrate the impact of aggressor driver width on crosstalk for RLC coupled interconnects. It is observed that peaks of noise in the signal increases with increase in aggressor driver width. REFERENCES [1] Sung Mo Kang, Y. Leblebici, CMOS Digital Integrated Circuits- Analysis and Design, 23, TMH, New York. [2] J. M. Rabaey, (1996), Digital Integrated Circuits, A Design Perspective, Prentice-Hall, Englewood Cliffs, N.J. [3] B. K. Kaushik and S. Sarkar, Crosstalk Analysis for a CMOS-Gate- Driven Coupled Interconnects IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 6, pp , June 28. [4] B. K. Kaushik, Sankar Sarkar and R. P. Agarwal, Waveform Analysis and Delay Prediction for a CMOS Gate Driving RLC Interconnect Load, Integration, the VLSI Journal, Elsevier Pub., Netherlands, vol. 4, no. 4, pp , July 27. [5] D. K. Sharma, B. K. Kaushik and R. K. Sharma, VLSI Interconnects and their Testing- Prospects and Challenges Ahead Journal of Engineering, Design and Technology, Emerald Pub., UK. (In Press). [6] K. G. Verma, B.K.Kaushik and R. Singh, Effects of Process Variation in VLSI Interconnects- a Technical Review Microelectronics International, Emerald Pub. U.K., vol. 26, no. 3, pp , 29. [7] Brajesh Kumar Kaushik, S. Sarkar and R. P. Agarwal, Width Optimization of Global Inductive VLSI interconnects Microelectronics International, Emerald Pub. U.K., vol. 23, no. 1, pp. 26 3, Feb. 26. [8] Brajesh Kumar Kaushik and Sankar Sarkar, Crosstalk Analysis for a CMOS Gate Driven Inductively and Capacitively Coupled Interconnects, Microelectronics Journal, Elsevier Pub., Netherlands, vol. 38 pp , 28. [9] Brajesh Kumar Kaushik, Sankar Sarkar, Rajendra P. Agarwal, and Ramesh C. Joshi, Voltage Scaling-A Novel Approach for Crosstalk Reduction in Global VLSI Interconnects Microelectronics International, Emerald Pub., U.K., vol.24, no. 1, pp. 4 45, Jan 27. [1] B. K. Kaushik, S. Sarkar, R. P. Agarwal and R. C. Joshi An Analytical Approach to Dynamic Crosstalk in Coupled Interconnects Microelectronics Journal, Elsevier Pub., vol. 41, iss. 2-3, pp , Feb. 21. [11] S. K. Verma and B. K. Kaushik Encoding Schemes for the Reduction of Power Dissipation, Crosstalk and Delay: A Review International Journal of Recent Trends in Engineering [ISSN ], Academy Publishers, Finland, vol. 3, no. 4, pp , 21. [12] B. K. Kaushik, S. Sarkar, R. P. Agarwal and R. C. Joshi, Waveform Analysis and Delay Prediction in Simultaneously Switching CMOS Gate Driven Inductively and Capacitively Coupled On-chip Interconnects 6th IEEE-Dallas Circuits and Systems Workshop (IEEE-DCAS), Dallas, Texas, Nov., 27. [13] B. K. Kaushik, S. Sarkar, R. P. Agarwal and R. C. Joshi, Crosstalk Analysis of Simultaneously Switching Coupled Interconnects Driven by Unipolar Inputs through Heterogeneous Resistive Drivers 3rd IEEE-International Conference on Emerging Technology (IEEE-ICET), Islamabad, Nov., 27. [14] B. K. Kaushik, S. Sarkar, R. P. Agarwal and R. C. Joshi, Crosstalk Analysis of Simultaneously Switching Inductively and Capacitively Coupled Interconnects Driven by CMOS Gate 3rd IEEE-International Conference on Emerging Technology (IEEE- ICET), Islamabad, Nov., 27. [15] Semiconductors Industry Association: International Technology Roadmap for Semiconductors (25). [16] A. Deutsch, G. V. Kopcsay, P. J. Restle, H. H. Smith, G. Katopis, W. D. Becker, P. W. Coteus, C. W. Surovic, B. J. Rubin, R. P. Dunne Jr., T. Gallo, K. A. Jenkins,; L. M. Terman, R.H. Dennard, G. A. Sai-Halasz, B. L. Krauter, D. R. Knebel, When are Transmission line Effects Important for On-chip Interconnections, IEEE Trans. on Microwave Theory and Techniques, vol. 45, no.1, pp , Oct [17] K. Banerjee, and A. Mehrotra, Accurate Analysis of On-chip Inductance Effects and Implications for Optimal Repeater Insertion and Technology Scaling, Proc. IEEE Symp. VLSI circuits, Kyoto Japan, pp.195-8, 21. [18] R. Anglada and A. Rubio, Logic Fault Model for Crosstalk Interferences in Digital Circuits, International Journal of Electronics, vol. 67, no.3, pp , Sept [19] R. Anglada and A.Rubio, An Approach to Crosstalk Effect Analysis and Avoidance in Digital CMOS VLSI circuits, International Journal of Electronics, vol.65, no.1, pp.9-17, July [2] B. K. Kaushik, S. Sarkar, R. P. Aggarwal, and R.C. Joshi, Crosstalk Analysis and Repeater Insertion in Crosstalk Aware Coupled VLSI Interconnects, Microelectronics International, vol. 23, no.3, pp.55-63, 26. [21] B. K. Kaushik, R. P. Agarwal, S. Sarkar, R. C. Joshi and D. S. Chauhan Repeater Insertion In Crosstalk Aware Inductively And Capacitively Coupled Interconnects International Journal of Circuit Theory and Applications, Wiley InterScience Publishers. DOI: 1.12/cta.666. (In Press). [22] B. K. Kaushik, S. Sarkar, R. P. Agarwal, and R.C. Joshi, Crosstalk Analysis of Simultaneously Switching Interconnects, International Journal of Electronics, vol.96, no.1, pp , Oct. 29. [23] B. K. Kaushik, S. Sarkar, R. P. Agarwal, and R. C. Joshi, Effect of Line Resistance and Driver Width on Crosstalk in Coupled VLSI Interconnects, Microelectronics International, vol.24, no.3, pp , 27. [24] Shahin Nazarian and M.Pedram, Analysis of Crosstalk Affected Propagation Delay of VLSI Interconnect in Nanometer Technologies, To appear in Int l Journal of Electronics, 21. [25] Mohamed A. Elgamel and Magdy A.Bayoumi, Interconnect Noise Analysis and Optimization in Deep Submicron Technology, IEEE circuits and systems Magazine, Fourth quarter 23, pp [26] N. Delorme, M. Belleville, and J. Chilo, Inductance and Capacitance Analytic Formulas for VLSI Interconnects, Electron Lett., vol. 32, no. 11, 1996, pp [27] E. B. Rosa, The Self and Mutual Inductances of Linear Conductors, Bull. Nat. Bur Stand., vol.4, no. 2, pp , 198. [28] Y. Lu, K. Banerjee, M. Celik and R. W. Dutton, A Fast Analytical Technique for Estimating the Bounds of On Chip Clock Wire Inductance, Proc. IEEE Custom Integrated Circuits Conf., 21, pp

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

Receiver Modeling for Static Functional Crosstalk Analysis

Receiver Modeling for Static Functional Crosstalk Analysis Receiver Modeling for Static Functional Crosstalk Analysis Mini Nanua 1 and David Blaauw 2 1 SunMicroSystem Inc., Austin, Tx, USA Mini.Nanua@sun.com 2 University of Michigan, Ann Arbor, Mi, USA Blaauw@eecs.umich.edu

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Implementation of Crosstalk Elimination Using Digital Patterns Methodology

Implementation of Crosstalk Elimination Using Digital Patterns Methodology Implementation of Crosstalk Elimination Using Digital Patterns Methodology Kolli Kalpana M.Tech Student Department of ECE Nova College of Engineering and Technology, Ibrahimpatnam, Vijayawada, Krishna,

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction ECE484 VLSI Digital Circuits Fall 2017 Lecture 01: Introduction Adapted from slides provided by Mary Jane Irwin. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] CSE477 L01 Introduction.1

More information

ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm

ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm P.K.Chakrabarty 1, S.N.Patnaik 2 1Professor, Department of CSE., IT,BHU, India 2Asst.Professor, ECE Department, DRIEMS, Cuttack,

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

NoCIC: A Spice-based Interconnect Planning Tool Emphasizing Aggressive On-Chip Interconnect Circuit Methods

NoCIC: A Spice-based Interconnect Planning Tool Emphasizing Aggressive On-Chip Interconnect Circuit Methods 1 NoCIC: A Spice-based Interconnect Planning Tool Emphasizing Aggressive On-Chip Interconnect Circuit Methods V. Venkatraman, A. Laffely, J. Jang, H. Kukkamalla, Z. Zhu & W. Burleson Interconnect Circuit

More information

Determination of Worst-case Crosstalk Noise for Non-Switching Victims in GHz+ Interconnects

Determination of Worst-case Crosstalk Noise for Non-Switching Victims in GHz+ Interconnects Determination of Worst-case Crosstalk Noise for Non-Switching Victims in GHz+ Interconnects Jun Chen ECE Department University of Wisconsin, Madison junc@cae.wisc.edu Lei He EE Department University of

More information

Capacitive Coupling Noise in High-Speed VLSI Circuits

Capacitive Coupling Noise in High-Speed VLSI Circuits Capacitive Coupling Noise in High-Speed VLSI Circuits Payam Heydari Department of Electrical and Computer Engineering University of California Irvine, CA 92697 Massoud Pedram Department of Electrical Engineering-Systems

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Modeling and Analysis of Crosstalk between Differential Lines in High-speed Interconnects

Modeling and Analysis of Crosstalk between Differential Lines in High-speed Interconnects 1293 Modeling and Analysis of Crosstalk between Differential Lines in High-speed Interconnects F. Xiao and Y. Kami University of Electro-Communications, Japan Abstract The crosstalk between a single-ended

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Sigmala Mani Raju PG Scholar, Dept of ECE, Global College of Engineering & Technology, Kadapa, YSR (Dt), AP, India. Abstract: In

More information

CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK

CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK 24 CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK 2.1 INTRODUCTION The high speed digital signal propagates along the transmission lines in the form of transverse electromagnetic (TEM) waves at very

More information

What is this class all about?

What is this class all about? -Fall 2004 Digital Integrated Circuits Instructor: Borivoje Nikolić TuTh 3:30-5 247 Cory EECS141 1 What is this class all about? Introduction to digital integrated circuits. CMOS devices and manufacturing

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip? Instructor: Jan Rabaey EECS141 1 Introduction to digital integrated circuit design engineering Will describe models and key concepts needed to be a good digital IC designer Models allow us to reason about

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Crosstalk Aware Static Timing Analysis Environment

Crosstalk Aware Static Timing Analysis Environment Crosstalk Aware Static Timing Analysis Environment B. Franzini, C. Forzan STMicroelectronics, v. C. Olivetti, 2 20041 Agrate B. (MI), ITALY bruno.franzini@st.com, cristiano.forzan@st.com ABSTRACT Signals

More information

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science &

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Lun Ye 1 Foong-Charn Chang 1 Peter Feldmann 1 Nagaraj NS 2 Rakesh Chadha 1 Frank Cano 3 1 Bell Laboratories, Murray

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE Dhanya M. Ravi 1 1Assistant Professor, Dept. Of ECE, Indo American Institutions Technical Campus, Sankaram, Anakapalle, Visakhapatnam, Mail id: dhanya@iaitc.in

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

ECE 497 JS Lecture - 21 Noise in Digital Circuits

ECE 497 JS Lecture - 21 Noise in Digital Circuits ECE 497 JS Lecture - 21 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - NL05 program available -

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Ming-Dou Ker and Bing-Jye Kuo Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics,

More information

LOW POWER WITH IMPROVED NOISE MARGIN FOR DOMINO CMOS NAND GATE

LOW POWER WITH IMPROVED NOISE MARGIN FOR DOMINO CMOS NAND GATE LOW POWER WITH IMPROVED NOISE MARGIN FOR DOMINO CMOS NAND GATE 1 Pushpa Raikwal, 2 V. Neema, 3 S. Katiyal 1,3 School of electronics DAVV, Indore, Madhya Pradesh, India 2 Institute of Engineering and Technology,

More information

What is this class all about?

What is this class all about? EE141-Fall 2007 Digital Integrated Circuits Instructor: Elad Alon TuTh 3:30-5pm 155 Donner 1 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques S. Nand Singh Dr. R. Madhu M. Tech (VLSI Design) Assistant Professor UCEK, JNTUK. UCEK, JNTUK Abstract: Low power technology

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

16 Bit Low Power High Speed RCA Using Various Adder Configurations

16 Bit Low Power High Speed RCA Using Various Adder Configurations 16 Bit Low Power High Speed RCA Using Various Adder Configurations Jasbir Kaur #1, Dr.Neelam RupPrakash *2 Electronics & Comminucation Enfineering, P.E.C University of Technology 1 jasbirkaur70@yahoo.co.in

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan EEA001 VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS Circuits MOS Transistor

More information

Elettronica T moduli I e II

Elettronica T moduli I e II Elettronica T moduli I e II Docenti: Massimo Lanzoni, Igor Loi Massimo.lanzoni@unibo.it igor.loi@unibo.it A.A. 2015/2016 Scheduling MOD 1 (Prof. Loi) Weeks 39,40,41,42, 43,44» MOS transistors» Digital

More information

Copyright 2011 by Dr. Andrew David Norte. All Rights Reserved.

Copyright 2011 by Dr. Andrew David Norte. All Rights Reserved. Near-End Crosstalk Considerations For Coupled Microstriplines David Norte, PhD www.the-signal-and-power-integrity-institute.com Thornton, Colorado, 80234, USA Abstract This paper addresses the impact of

More information

Efficient Crosstalk Avoidance Using Modified Redundant Fibonacci Code (MRFC) in VLSI Interconnects

Efficient Crosstalk Avoidance Using Modified Redundant Fibonacci Code (MRFC) in VLSI Interconnects World Engineering & Applied Sciences Journal 8 (1): 48-56, 2017 ISSN 2079-2204 IDOSI Publications, 2017 DOI: 10.5829/idosi.weasj.2017.48.56 Efficient Crosstalk Avoidance Using Modified Redundant Fibonacci

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices Balwinder Kumar, Yogesh Dilip Save, H. Narayanan, and Sachin B. Patkar Electrical Engineering Department, Indian Institute

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 509~515 ISSN: 2088-8708 509 A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit Sidhant Kukrety*,

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Optimize Parity Encoding for Power Reduction in Content Addressable Memory Nisha Sharma, Manmeet Kaur 1 Research Scholar, Chandigarh

More information

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Simulation and Analysis of SRAM Cell Structures at 90nm Technology Vol.1, Issue.2, pp-327-331 ISSN: 2249-6645 Simulation and Analysis of SRAM Cell Structures at 90nm Technology Sapna Singh 1, Neha Arora 2, Prof. B.P. Singh 3 (Faculty of Engineering and Technology, Mody

More information

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Jesal P. Gajjar 1, Aesha S. Zala 2, Sandeep K. Aggarwal 3 1Research intern, GTU-CDAC, Pune, India 2 Research intern, GTU-CDAC, Pune,

More information

The Impact of Wave Pipelining on Future Interconnect Technologies

The Impact of Wave Pipelining on Future Interconnect Technologies The Impact of Wave Pipelining on Future Interconnect Technologies Jeff Davis, Vinita Deodhar, and Ajay Joshi School of Electrical and Computer Engineering Georgia Institute of Technology Atlanta, GA 30332-0250

More information

VLSI microarchitecture. Scaling. Toni Juan

VLSI microarchitecture. Scaling. Toni Juan VLSI microarchitecture Scaling Toni Juan Short index Administrative glass schedule projects? Topic of the day: Scaling VLSI microarchitecture Toni Juan, Nov 2000 2 Administrative Class Schedule Day Topic

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Journal of the Korean Physical Society, Vol. 41, No. 6, December 2002, pp. 846 850 A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Jai-Cheol

More information

CMPEN 411. Spring Lecture 01: Introduction

CMPEN 411. Spring Lecture 01: Introduction Kyusun Choi CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 01: Introduction Course Website: http://www.cse.psu.edu/~kyusun/class/cmpen411/09s/index.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

High-Performance Full Adders Using an Alternative Logic Structure

High-Performance Full Adders Using an Alternative Logic Structure Term Project EE619 High-Performance Full Adders Using an Alternative Logic Structure by Atulya Shivam Shree (10327172) Raghav Gupta (10327553) Department of Electrical Engineering, Indian Institure Technology,

More information

DESIGN AND IMPLEMENTATION OF UNIVERSAL GATE USING DG-FinFET 32NM TECHNOLOGY

DESIGN AND IMPLEMENTATION OF UNIVERSAL GATE USING DG-FinFET 32NM TECHNOLOGY DESIGN AND IMPLEMENTATION OF UNIVERSAL GATE USING DG-FinFET 32NM TECHNOLOGY SEEMA MEHTA 1, DEVESH KISHORE 2, AASTHA HAJARI 3 PG Scholar 1, Assistant Professor 2,3 Shiv Kumar Singh Institute of Technology

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Crosstalk Noise Optimization by Post-Layout Transistor Sizing

Crosstalk Noise Optimization by Post-Layout Transistor Sizing Crosstalk Noise Optimization by Post-Layout Transistor Sizing Masanori Hashimoto hasimoto@i.kyoto-u.ac.jp Masao Takahashi takahasi@vlsi.kuee.kyotou.ac.jp Hidetoshi Onodera onodera@i.kyoto-u.ac.jp ABSTRACT

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 53 (2013) 208 214 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel PMOS-based power-rail ESD

More information

A Low Power SRAM Cell with High Read Stability

A Low Power SRAM Cell with High Read Stability 16 ECTI TRANSACTIONS ON ELECTRICAL ENG., ELECTRONICS, AND COMMUNICATIONS VOL.9, NO.1 February 2011 A Low Power SRAM Cell with High Read Stability N.M. Sivamangai 1 and K. Gunavathi 2, Non-members ABSTRACT

More information

An Interconnect-Centric Design Flow for Nanometer. Technologies

An Interconnect-Centric Design Flow for Nanometer. Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong Department of Computer Science University of California, Los Angeles, CA 90095 Abstract As the integrated circuits (ICs) are scaled

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article Jestr Journal of Engineering Science and Technology Review 9 (5) (216) 145-149 Research Article JOURNAL OF Engineering Science and Technology Review www.jestr.org Design and Simulation of 6T SRAM Cell

More information

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION K.VENUGOPAL P.SIREESH BABU Abstract - A SRAM cell must meet requirements for operation in submicron. As the density of SRAM increases,

More information

Minimization of Crosstalk in PCB

Minimization of Crosstalk in PCB Minimization of Crosstalk in PCB Avali Ghosh 1, Sisir Kumar Das 2, Annapurna Das 3 1, 2, 3 ECE Department, MAKAUT, GNIT, Kolkata Abstract: This paper describes the cross-talk problems in printed circuit

More information

High-level synthesis framework for crosstalk minimization in VLSI ASICs

High-level synthesis framework for crosstalk minimization in VLSI ASICs University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 28 High-level synthesis framework for crosstalk minimization in VLSI ASICs Hariharan Sankaran University of

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about?

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about? - Spring 2004 Introduction to Digital Integrated Circuits Tu-Th am-2:30pm 203 McLaughlin What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

Design of Read and Write Operations for 6t Sram Cell

Design of Read and Write Operations for 6t Sram Cell IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 8, Issue 1, Ver. I (Jan.-Feb. 2018), PP 43-46 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Read and Write Operations

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information