Overview of EECS 244:

Size: px
Start display at page:

Download "Overview of EECS 244:"

Transcription

1 EECS 244: Overview of the IC Design Flow Prof. Kurt Keutzer EECS 1

2 Class News No class Monday Labor Day 2

3 The Purpose of the Class Project Your first couple years of graduate school are about making the transition from Capable textbook reader scholar of the published research literature Solitary student Active team member Assimilating well defined information pursuing open questions Excellent course/test taker creative researcher The project portion of the course is to help you make this transition 3

4 Project Outline Motivation Problem statement Prior work Investigative approach Results Summary Conclusions Future Work 4

5 How Conducted Research will be conducted in groups of 2-3 Individual projects highly discouraged Research may be coordinated with other class projects: EECS249, EECS290N etc. Research will culminate in a: Powerpoint presentation/demo with explanatory notes Written report 5

6 Tips for a Great Project Use your skill set Circuits, devices, processing, software development, system-level applications Great idea: Topical e.g. system level, deep submicron effects, power Tractable can make an impact in a semester, have all the software, examples, data files that you need Get started early Get mentorship (senior grad students, post-docs, prof of course) Follow deadlines Formulate the problem clearly Formulate your results clearly 6

7 Some Successful 244 Projects ``Getting to the Bottom of Deep Submicron, D. Sylvester, K. Keutzer, In Proceedings of the International Conference on Computer-Aided Design, November, 1998, pp ``Towards True Crosstalk Noise Analysis, P. Chen, K. Keutzer, In Proceedings of the International Conference on Computer-Aided Design, November, 1999, pp ``Impact of Systematic Spatial Intra-Chip Gate Length Variability on Performance of High-speed Digital Circuits M. Orshansky, L. Milor, P. Chen, K. Keutzer, C. Hu, In Proceedings of the International Conference on Computer-Aided Design, November, 2000, pp `` Bus Encoding to Prevent Crosstalk Delay, B. Victor, K. Keutzer, Proceedings of the International Conference on Computer-Aided Design, November, Constraint Driven Communication Synthesis, A. Pinto, L. Carloni, A. Sangiovanni-Vincentelli, DAC 2002 Multi-Domain Clock Skew Scheduling, Kaushik Ravindran, Andreas Kuehlmann, Ellen Sentovich, ICCAD

8 Important Class Dates Project teams + topics (1 paragraph) due 9/13 Full project proposals due 9/29 Exam 1 Handed out 10/6 Exam 1 collected at BEGINNING of class 10/11 Preliminary project report due 11/1 Exam 2 Handed out 11/3 Exam 2 collected at BEGINNING of class 11/8 Final project presentations between 12/6 and 12/8 8

9 Application Motivated by: A bright idea A market opportunity An emerging market A high growth market A technological breakthrough For example - wireless telephony 9

10 Market Opportunity - World s Cellular Subscribers Millions Digital Will provide a ubiquitous infrastructure for wireless data as well as voice Analog Year 10 Source: Ericsson Radio Systems, Inc.

11 Specification Function, performance (power, delay, area), cost A competitor s Integrated circuit Data sheet A napkin An industry standard For example, GSM standard for cellular telephony 11

12 System Level Model: GSM System System model is organized into major components phone phone book book analog digital SAW FILTER SH A D digital down conv digital receiver demond and sync MLSE Viterbi Eqlz. control RF 900Mhz IF 70Mhz 10.7Mhz Courtesy Ravi Subramaniam 40Ms/sec - 540ks/sec 270.8ks/sec BB speech quality enhancement de-intl Viterbi decoder keypad intfc protocol voice recognition RPE-LTP speech decoder 12

13 Mapping onto a system on a chip S/P phone book keypad intfc DMA control protocol S/P RAM µc RAM ASIC LOGIC DMA DSP CORE speech quality enhancment de-intl & decoder voice recognition RPE-LTP speech decoder demodulator and synchronizer Viterbi equalizer 13

14 Full Wireless Phone Organization C540 ARM7 14

15 A more complex design SOC architecture Courtesy Synopsys 15

16 From specification to design entry Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases 16

17 Targeting an IC Implementation System model is further refined to begin to think about physical implementation ASIC logic phone phone book book ucontroller keypad intfc analog digital RAM SAW FILTER SH A D digital down conv demond and sync MLSE Viterbi Eqlz. control protocol RF 900Mhz IF 70Mhz 10.7Mhz 40Ms/sec - 540ks/sec 270.8ks/sec digital receiver HDL Design flow used for ASIC, uc, and DSP BB speech quality enhancement de-intl Viterbi decoder voice recognition RPE-LTP speech decoder Courtesy Ravi Subramaniam DSP Core 17

18 Design Flow HDL RTL Synthesis Library/ module generators netlist logic optimization netlist physical design layout manual design specification Is the design consistent with the original specification? Is what I think I want what I really want? 18

19 Current Practice: HDL at RTL Level module foobar (q,clk,s,a,b); input clk, s, a, b; output q; reg q; reg d; or b or s) // mux begin if(!s ) d = a; else if( s ) d = b; else d = 'bx; // latch begin if( clk == 1 ) q = d; else if( clk!== 0 ) q = 'bx; end // (clk) end // (a or b or s) endmodule 19

20 RTL level An RTL description is always implicitly structural - the registers and their interconnectivity are defined Thus the clock-to-clock behavior is defined Only the control logic for the transfers is synthesized. This approach can be enhanced: Register inferencing Automating resource allocation b c + a +1 a = b + c; d = a + 1; e = d * 2; d Behavioral implies e = 2 * (b + c + 1) RTL implies 2 * e 20

21 Verification Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases 21

22 Design Verification HDL RTL Synthesis manual design Library/ module generators netlist logic optimization netlist physical design layout specification Is the design consistent with the original specification? Is what I think I want what I really want? 22

23 Implementation Verification HDL RTL Synthesis manual design Library/ module generators netlist logic optimization a b 0 1 s d clk q netlist a b 0 1 d q physical design s clk layout Is the implementation consistent with the original design intent? Is what I implemented what I wanted? 23

24 Manufacture Verification (Test) Library/ module generators HDL RTL Synthesis netlist logic optimization netlist physical design manual design a b 0 1 s d clk a b 0 1 s q d clk q Is the manufactured circuit consistent with the implemented design? Did they build what I wanted? layout 24

25 Approaches to Design Verification Formal verification Model checking - prove properties relative to model Theorem proving - prove properties of a circuit Simulation Application of simulation stimulus to model of circuit Emulation Implement a version of the circuit on emulator Rapid prototyping Create a prototype of actual hardware 25

26 Software Simulation Simulation driver Simulation model (vectors) (HDL) Simulation monitor (yes/no) 26

27 Types of software simulators Circuit simulation Spice, Advice, Hspice Timemill + Ace, ADM Event-driven gate/rtl/behavioral simulation Verilog - VCS, NC-Verilog, Turbo-Verilog, Verilog-XL VHDL - VSS, MTI, Leapfrog Cycle-based gate/rtl/behavioral simulation Verilog - Speedsim VHDL Cyclone Generic system-level simulation - SystemC Domain-specific simulation SPW, COSSAP, Architecture-specific simulation VAST, Axys, Lisatek 27

28 Implementation Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases 28

29 RTL Design Flow HDL RTL Synthesis Library netlist logic optimization netlist physical design layout Manual Design a b Module Generators 0 1 d q s clk a b 0 1 s d clk q 29

30 Manual Design Performed at Gate level (100 gates/week) /gate-level editor Transistor level (10-20 gates week)/tr level editor Very expensive in design cost and design time - Used for: Analog Leaf cells - libraries, memory cells Datapaths in high performance designs - DSP, microprocessor etc. 30

31 Module Generators Parameterized generators of actual physical layout Typically used for: Memories (word length, #words, # ports) Programmable logic arrays (PLA) Register files Occasionally used for: Multipliers General-purpose datapath Datapaths in high performance designs - DSP, microprocessors etc. 31

32 Workhorse: RTL Synthesis Flow HDL RTL Synthesis Library netlist logic optimization a b 0 1 s d clk q netlist a b 0 d q 1 s clk physical design layout 32

33 Library Contains for each cell: Functional information: cell = a *b * c Timing information: function of input slew intrinsic delay output capacitance non-linear models used in tabular approach Physical footprint (area) Power characteristics Wire-load models - function of Block size Wiring Library 33

34 Reasonable Library Functions Inverter, Buffer ND2-ND4; NOR2-NOR4; AND2- AND4; AOI21 - AOI333; OAI21 - OAI333 XOR, XNOR MUX, Full Adder Neg-Edge Triggered D-Flip-Flop Pos-Edge Triggered D-FF J-K FF Above with various clears, enables Scan versions of each of the above Most of the above in 6 different power sizes: 1x, 2x, 4x, 6x, 8x, 16x 34

35 RTL Synthesis module foobar (q,clk,s,a,b); input clk, s, a, b; output q; reg q; reg d; or b or s) // mux begin if(~s ) d = a; else if( s ) d = b; else d = 'bx; end // (a or b or s) HDL RTL Synthesis netlist a b 0 1 d s translate HDL source into netlist clk q 35

36 Logic Optimization Perform a variety of transformations and optimizations netlist Structural graph transformations Boolean transformations Library logic optimization Mapping into a physical library netlist a 0 b d a 0 d q q 1 b 1 s clk s clk pre-optimized smaller, faster less power 36

37 Optimization Technologies LOGIC EQUATIONS TECHNOLOGY-INDEPENDENT OPTIMIZATION Cube Factoring Kernel Factoring TECH-DEPENDENT OPTIMIZATION (MAPPING, TIMING) Technology mapping Peephole optimization OPTIMIZED LOGIC NETWORK 37

38 Kernel and Boolean Factorization f = a b + a c + b a + b c + c a + c b Algebraic factorization procedures f = a ( b + c ) + a ( b + c ) + b c + c b Boolean factorization produces f = ( a + b + c ) ( a + b + c ) The decomposition and factorization of Boolean expressions RK Brayton, C McMullen, Proc. ISCAS, 1982 Devadas and Keutzer - Chapter 6&7 38

39 Optimization Technologies LOGIC EQUATIONS TECHNOLOGY-INDEPENDENT OPTIMIZATION Cube Factoring Kernel Factoring TECH-DEPENDENT OPTIMIZATION (MAPPING, TIMING) Technology mapping Peephole optimization OPTIMIZED LOGIC NETWORK 39

40 Reasonable Library Inverter, Buffer ND2-ND4; NOR2-NOR4; AND2- AND4; AOI21 - AOI333; OAI21 - OAI333 XOR, XNOR MUX, Full Adder Neg-Edge Triggered D-Flip-Flop Pos-Edge Triggered D-FF J-K FF Above with various clears, enables Scan versions of each of the above Most of the above in 6 different power sizes: 1x, 2x, 4x, 6x, 8x, 16x 40

41 Input Circuit Netlist ``subject DAG 41

42 Find a Mapping into the Tech Library ND2 AOI21 ND3 INV ND3 Result is a netlist in the technology library DAGON: Technology Binding and Local Optimization by DAG Matching K Keutzer, Proceedings of DAC, 1987, pages

43 Physical Design Transform sequential circuit netlist into a physical circuit place circuit components route wires transform into a mask Or for FPGA s place look-up tables route wires a b netlist Library physical design layout 0 d q 1 s clk netlist physical layout 43

44 Channeled and Channel less Routing: Channel based: Routing only in channels between gates (few metal layers: 2) Channel less: Routing over gates (many metal layers: 3-6) Often split in two steps: Global route:find a coarse route depending on local routing density Detailed route: Generate routing layout Channel based Channel less J. Christiansen, CERN - EP/MIC Jorgen.Christiansen@cern.ch 44

45 Channeled Gate Array 45

46 Standard Cell Layout 46

47 Channel less Cells Arbitrary routing over cells 47

48 Physical Design: Overall Flow Input Read Netlist Placement Quadratic Placement Partitioning Detailed Placement Routing Global Routing Detailed Routing Routing Improvement Cost Estimation Output Compaction/finishing Write GDSII 48

49 Gordian Placement Flow J. Kleinhaus, G. Sigl, F. Johannes, K. Antreich, GORDIAN: VLSI Placement by Quadratic Programming and Slicing Optimization, IEEE Trans. on CAD, March, 1991, pp

50 Library, Netlist, and Aspect Ratio Netlist - >100K cells from library a b 0 1 d q s clk Library/ module generators Size and aspect ratio of core die 50

51 Setting up Global Optimization 51

52 Resulting Layout 52

53 Partitioning 53

54 Layout after Min-cut B.W. Kernighan, S. Lin, "An Efficient Heuristic Procedure for Partitioning Graphs", Bell Syst. tech Journal, vol 49, 1970 A linear-time heuristic for improving network partitions, C. Fidduica, R. Mattheyses, Design Automation Conference, 1982,

55 Final Placement 55

56 Slicing Tree Optimal slicing of plane point placements, van Ginneken,L.P.P.P. Otten, R.H.J.M., Proceedings of the European Design Automation Conference: Mar 1990,

57 Other details - slotting constraints A. E. Dunlop, B. W. Kernighan, A procedure for placement of standard-cell VLSI circuits, IEEE Trans. on CAD, Vol. CAD-4, Jan, 1985, pp Slotting constraints 57

58 Generating Final Placement 58

59 Standard Cell Layout 59

60 Physical Design: Overall Flow Input Read Netlist Placement Quadratic Placement Partitioning Detailed Placement Routing Global Routing Detailed Routing Routing Improvement Cost Estimation Output Compaction/finishing Write GDSII 60

61 Routing To simplify routing problem, divide it into two phases Global Detailed Global routing Define routing regions Assign nets to regions Detailed (Channel) routing Route nets within each region Assign nets to pins 61

62 Global Routing Grid-Graph Model Checker-Board Graph (also use slicing structure) 62

63 Channel Routing net Basic Terminology: 1 1 branch via tracks end trunk channel pins Fixed pin positions on top and bottom edges Classical channel: no nets leave channel Three-sided channel possible 1 A Greedy Channel Router, RL Rivest, CM Fiduccia, Design Automation Conference,

64 Detail Router 2: Maze Routing Basic idea -- wave propagation method(lee, 1961) Breadth-first search Back-tracing after finding the shortest path guarantee to find the shortest path A B

65 Physical Design: Overall Flow Input Read Netlist Placement Quadratic Placement Partitioning Detailed Placement Routing Global Routing Detailed Routing Routing Improvement Cost Estimation Output Compaction/finishing Write GDSII 65

66 Followed by Compaction X then Y 1D Compaction Y then X 1D Compaction Thomas Lengauer, "Combinatorial Algorithms for Integrated Circuit Layout", Chapter 10, "Compaction", pages , Wiley and Teubner, 1990 Algorithms and techniques for VLSI layout synthesis DD Hill, D Shugard, J Fishburn, K Keutzer Boston: Kluwer Academic Publishers 66

67 Placed and Routed Standard Cells 67

68 Another Final Placement 68

69 Re-visiting Verfication Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases 69

70 Implementation Verification HDL RTL Synthesis manual design Library/ module generators netlist logic optimization a b 0 1 s d clk q netlist a b 0 1 d q physical design s clk layout Is the implementation consistent with the original design intent? Is what I implemented what I wanted? 70

71 Static Sign-off HDL RTL Synthesis Library/ module generators netlist logic optimization netlist physical design layout manual design d a 0 b 1 s a b 0 1 d q s clk ASIC signoff clk q Use static analysis techniques to verify: functionality: formal equivalencechecking techniques and timing: use static timing analysis 71

72 Manufacture Verification (Test) Library/ module generators HDL RTL Synthesis netlist logic optimization netlist physical design manual design a b 0 1 s d clk a b 0 1 s q d clk q Is the manufactured circuit consistent with the implemented design? Did they build what I wanted? layout 72

73 Test Synthesis Full-chip test requires: BIST S/P RAM µc RAM DMA Full Scan ASIC LOGIC DSP CORE JTAG Fault simulation for asynchronous interfaces Partial scan 73

74 Current Status of RTL Design Flow Current RTL design flow is able to produce High speed microprocessors - e.g. Alpha, Pentium Pro > 10M gate-equivalents > 4GHz. (with intervention) System-on-a-chip/Systems on silicon Integration of micro-p, DSP, memory and ASIC on a single die > 10M gate-equivalents >500Hz. Rapid turnaround Structured ASIC ISSP-90 HIS from NEC ~ 3M usable gates ~10 Mb SRAM; 10G SerDes RTL Design flow now used for FPGA s as well RTL synthesis provided by independent vendors e.g. Synplicity as well as FPGA providers e.g. Xilinx Place and route provided by FPGA vendors e.g. Xilinx 74

75 How close or far are these 3 solutions 3. Alternatives In terms of area, power, programmability??? Flexibility Software Parts Design Goals Multi-DSP-centered and ASIP-assisted Picochip, Sandbridge, Icera, 2007 SIMD DSP 1 Shared Mem Acc 1 SIMD DSP n Acc n ARM Mem PHY L1 Competitive power, area Highest degree of flexibility Simplest Programming Model 90nm Reconfigurable Architectures Morphics Morpho, Cogent, Mercury, Quicksilver, Televersal, 3P+1, CU 1 CU n DSP rdpu 1 rdpu n Mem PHY L1 Competitive power, area Flexibility for single family of standards (e.g. WCDMA and CDMA2000) Complex Programming Model 0.18µm 90nm ASIC-centered and DSP-assisted 1999 Macro 1 DSP Mem Macro 2 Macro n L1 Lowest power consumption No flexibility (e.g. GSM only) µm technology node Acc: Accelerator L1: Layer-1 Control rdpu: Reconf. Data Processing Unit CU: Control Unit Mem: Memory SIMD: Single Instruction Multiple Data DSP: Digital Signal Proc. PHY: Physical Layer 75

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas 1 RTL Design Flow HDL RTL Synthesis Manual Design Module Generators Library netlist

More information

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation Design Verification 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases Kurt Keutzer

More information

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems Electronics Systems Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems The Technological Trend # of trans. 100M 75M 50M Moore s Law (#

More information

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10 System-level simulation (/SW co-simulation) Outline Problem statement Simulation and embedded system design functional simulation performance simulation POLIS implementation partitioning example implementation

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

CSE241 VLSI Digital Circuits UC San Diego

CSE241 VLSI Digital Circuits UC San Diego CSE241 VLSI Digital Circuits UC San Diego Winter 2003 Lecture 05: Logic Synthesis Cho Moon Cadence Design Systems January 21, 2003 CSE241 L5 Synthesis.1 Kahng & Cichy, UCSD 2003 Outline Introduction Two-level

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3) By P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA 95132 (USA) Jan 2000 (Issue-3) Contents Introduction... 3 Application Specific Integrated Circuits

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Hardware Modeling. Hardware Description. ECS Group, TU Wien Hardware Modeling Hardware Description ECS Group, TU Wien Content of this course Hardware Specification Functional specification High Level Requirements Detailed Design Description Realisation Hardware

More information

ECE260B CSE241A Winter Logic Synthesis

ECE260B CSE241A Winter Logic Synthesis ECE260B CSE241A Winter 2007 Logic Synthesis Website: /courses/ece260b-w07 ECE 260B CSE 241A Static Timing Analysis 1 Slides courtesy of Dr. Cho Moon Introduction Why logic synthesis? Ubiquitous used almost

More information

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

IP CORE Design 矽智產設計. C. W. Jen 任建葳. IP CORE Design 矽智產設計 C. W. Jen 任建葳 cwjen@twins.ee.nctu.edu.tw Course Contents Introduction to SoC and IP ARM processor core and instruction sets VCI interface, on-chip bus, and platform-based design IP

More information

Controller Synthesis for Hardware Accelerator Design

Controller Synthesis for Hardware Accelerator Design ler Synthesis for Hardware Accelerator Design Jiang, Hongtu; Öwall, Viktor 2002 Link to publication Citation for published version (APA): Jiang, H., & Öwall, V. (2002). ler Synthesis for Hardware Accelerator

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

EECS 244 Computer-Aided Design of Integrated Circuits and Systems

EECS 244 Computer-Aided Design of Integrated Circuits and Systems EECS 244 Computer-Aided Design of Integrated Circuits and Systems Professor A. Richard Newton Room 566 Cory Hall 642-2967, rnewton@ic.eecs Office Hours: Tu. Th. 3:30-4:30pm Fall 1997 Administrative Details

More information

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Zhining Huang, Sharad Malik Electrical Engineering Department

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes MSEE Curriculum All MSEE students are required to take the following two core courses: 3531-571 Linear systems 3531-507 Probability and Random Processes The course requirements for students majoring in

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 310/1780-18 ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 Design Methodology Tools Jorgen CHRISTIANSEN PH-ED CERN CH-1221 Geneva

More information

The Microprocessor as a Microcosm:

The Microprocessor as a Microcosm: The Microprocessor as a Microcosm: A Hands-On Approach to VLSI Design Education David Harris David_Harris@hmc.edu November 2002 Harvey Mudd College Claremont, CA Outline Introduction Course Organization

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

TECHNOLOGY MAPPING FOR THE ATMEL FPGA CIRCUITS

TECHNOLOGY MAPPING FOR THE ATMEL FPGA CIRCUITS TECHNOLOGY MAPPING FOR THE ATMEL FPGA CIRCUITS Zoltan Baruch E-mail: Zoltan.Baruch@cs.utcluj.ro Octavian Creţ E-mail: Octavian.Cret@cs.utcluj.ro Kalman Pusztai E-mail: Kalman.Pusztai@cs.utcluj.ro Computer

More information

L2: Design Representations

L2: Design Representations CS250 VLSI Systems Design L2: Design Representations John Wawrzynek, Krste Asanovic, with John Lazzaro and Yunsup Lee (TA) Engineering Challenge Application Gap usually too large to bridge in one step,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall 2013 Course Info Instructor Jie-Hong Roland Jiang email: jhjiang@cc.ee.ntu.edu.tw

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Lecture 41: Introduction to Reconfigurable Computing

Lecture 41: Introduction to Reconfigurable Computing inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 41: Introduction to Reconfigurable Computing Michael Le, Sp07 Head TA April 30, 2007 Slides Courtesy of Hayden So, Sp06 CS61c Head TA Following

More information

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing ECEN 449 Microprocessor System Design FPGAs and Reconfigurable Computing Some of the notes for this course were developed using the course notes for ECE 412 from the University of Illinois, Urbana-Champaign

More information

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project - Fall 2002 Lecture 20 Synthesis Sequential Logic Announcements Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project» Teams

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Logic Design Process Combinational logic networks Functionality. Other requirements: Size. Power. Primary inputs Performance.

More information

Workspace for '4-FPGA' Page 1 (row 1, column 1)

Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 2 (row 2, column 1) Workspace for '4-FPGA' Page 3 (row 3, column 1) ECEN 449 Microprocessor System Design FPGAs and Reconfigurable

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration TKT-1426 Digital design for FPGA, 6cp Fall 2011 http://www.tkt.cs.tut.fi/kurssit/1426/ Tampere University of Technology Department of Computer Systems Waqar Hussain Lecture Contents Lecture 1: Introduction

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Md. Abdul Latif Sarker, Moon Ho Lee Division of Electronics & Information Engineering Chonbuk National University 664-14 1GA Dekjin-Dong

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall Topics! PLAs.! Memories:! ROM;! SRAM;! DRAM.! Datapaths.! Floor Planning Programmable logic array (PLA)! Used to implement specialized logic functions.! A PLA decodes only some addresses (input values);

More information

Hardware describing languages, high level tools and Synthesis

Hardware describing languages, high level tools and Synthesis Hardware describing languages, high level tools and Synthesis Hardware describing languages (HDL) Compiled/Interpreted Compiled: Description compiled into C and then into binary or directly into binary

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices INTRODUCTION A programmable logic device or PLD is an electronic component used to build reconfigurable digital circuits. Unlike a logic gate, which has a fixed function, a PLD

More information

CMPE 415 Programmable Logic Devices Introduction

CMPE 415 Programmable Logic Devices Introduction Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices Introduction Prof. Ryan Robucci What are FPGAs? Field programmable Gate Array Typically re programmable as

More information

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS Contents i SYLLABUS osmania university UNIT - I CHAPTER - 1 : BASIC VERILOG HDL Introduction to HDLs, Overview of Digital Design With Verilog HDL, Basic Concepts, Data Types, System Tasks and Compiler

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

Design Verification Mike Butts Synopsys Prof. Kurt Keutzer Dr. Serdar Tasiran EECS UC Berkeley Mike Butts

Design Verification Mike Butts Synopsys Prof. Kurt Keutzer Dr. Serdar Tasiran EECS UC Berkeley Mike Butts Design Verification Mike Butts Synopsys Prof. Kurt Keutzer Dr. Serdar Tasiran EECS UC Berkeley Mike Butts 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of

More information

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6 ACCESS IC LAB Outline Concepts of Xilinx FPGA Xilinx FPGA Architecture Introduction to ISE Code Generator Constraints

More information

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning Lecture 8: Synthesis, Implementation Constraints and High-Level Planning MAH, AEN EE271 Lecture 8 1 Overview Reading Synopsys Verilog Guide WE 6.3.5-6.3.6 (gate array, standard cells) Introduction We have

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Lecture 40 VLSI Design Verification: An Introduction Hello. Welcome to the advance

More information

Lecture #1: Introduction

Lecture #1: Introduction Lecture #1: Introduction Kunle Olukotun Stanford EE183 January 8, 20023 What is EE183? EE183 is continuation of EE121 Digital Logic Design is a a minute to learn, a lifetime to master Programmable logic

More information

CS 250 VLSI Design Lecture 11 Design Verification

CS 250 VLSI Design Lecture 11 Design Verification CS 250 VLSI Design Lecture 11 Design Verification 2012-9-27 John Wawrzynek Jonathan Bachrach Krste Asanović John Lazzaro TA: Rimas Avizienis www-inst.eecs.berkeley.edu/~cs250/ IBM Power 4 174 Million Transistors

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

ProASIC PLUS FPGA Family

ProASIC PLUS FPGA Family ProASIC PLUS FPGA Family Key Features Reprogrammable /Nonvolatile Flash Technology Low Power Secure Single Chip/Live at Power Up 1M Equivalent System Gates Cost Effective ASIC Alternative ASIC Design Flow

More information

VLSI CAD Flow: Logic Synthesis, Placement and Routing Lecture 5. Guest Lecture by Srini Devadas

VLSI CAD Flow: Logic Synthesis, Placement and Routing Lecture 5. Guest Lecture by Srini Devadas VLSI CAD Flow: Logic Synthesis, Placement and Routing 6.375 Lecture 5 Guest Lecture by Srini Devadas 1 RTL Design Flow HDL RTL Synthesis manual design Library/ module generators netlist logic optimization

More information