SDRAM Arbiter. Agenda. Checkpoint 3 Introduction (1) Checkpoint 3 Introduction. Administrivia SDRAM Arbiter. Notes about Checkpoint 2 Design Tips

Size: px
Start display at page:

Download "SDRAM Arbiter. Agenda. Checkpoint 3 Introduction (1) Checkpoint 3 Introduction. Administrivia SDRAM Arbiter. Notes about Checkpoint 2 Design Tips"

Transcription

1 SDRAM Arbiter EECS150 Fall Lab Lecture #9 Chen Sun Adapted From Slides by Greg Gibeling and Allen Lee. Diagrams by Chris Fletcher 10/24/2008 EECS150 Lab Lecture #9 1 Agenda Checkpoint 3 Introduction Administrivia SDRAM Arbiter Interfaces FIFORAM.v Notes about Checkpoint 2 Design Tips 10/24/2008 EECS150 Lab Lecture #9 2 Checkpoint 3 Introduction (1) First real design checkpoint No datasheets! Only requirement is that the arbiter must support a valid/ready handshake interface SDRAM Arbiter Video/Audio data in SDRAM FIFO Queues 10/24/2008 EECS150 Lab Lecture #9 3 1

2 Checkpoint 3 Introduction (2) Fundamental Problem: You have a bandwidth limited device (SDRAM) that several things want to talk to What to do if both things want to talk to it at the same time? Solution: Arbiter Dictates who gets to talk to the device Makes sure that all requests are eventually served 10/24/2008 EECS150 Lab Lecture #9 4 Checkpoint 3 Introduction (3) SDRAM Arbiter Sits between SDRAM controller and the rest of your system Only 1 request is sent to the SDRAM Controller at a time Decide which request to service if multiple requests happen at the same time Turn the ugly checkpoint0bb interface clean Ready/Valid interface 10/24/2008 EECS150 Lab Lecture #9 5 Checkpoint 3 Introduction (4) FIFO: Keeps modules happy Video encoder will always have data to read. Audio / Video Decoder will always have a place to put more data while that data is waiting to be written to SDRAM. The Arbiter must guarantee that these FIFOs never underflow or overflow! 10/24/2008 EECS150 Lab Lecture #9 6 2

3 Checkpoint 3 Introduction (5) TA Checkpoint 3 Black-box 10/24/2008 EECS150 Lab Lecture #9 7 Checkpoint 3 Introduction (6) What we will give you: Black-boxed video decoder that takes in data from the camera checkpoint0bb FIFORAM.v Check-off: Local video from camera onto the TV screen If that does not work, show that checkpoint0bb is working for 50% partial credit 10/24/2008 EECS150 Lab Lecture #9 8 Administrivia (1) Checkpoint 1: Due beginning of lab lecture! Checkpoint 2: Due Friday, 10/31 (Halloween) Checkpoint 3: Design Reviews week of 10/27 Checkoff Friday, 11/7 Midterm 2: 11/4 (2:10 p.m. 125 Cory) 10/24/2008 EECS150 Lab Lecture #9 9 3

4 Administrivia (2) Submission Policies Checkpoint 2 You must submit your code via SVN Checkpoint 1 We will accept s for checkpoint 1 Design Review Policies Slight format change will go into effect next week Check the website over the weekend for updates 10/24/2008 EECS150 Lab Lecture #9 10 Administrivia (3) Start thinking about extra credit ideas! Detailed extra credit doc will be posted on Sunday: 10/26 2x $7000 FPGA boards given as prizes 10/24/2008 EECS150 Lab Lecture #9 11 SDRAM Arbiter Interfaces (1) Arbiter selects between different modules to serve Fixed priority scheme I.E.: Always serve the video encoder if both video encoder and audio/decoder have requests at the same time. Round-robin I.E.: If I just served the video encoder, the video decoder now has top priority. 10/24/2008 EECS150 Lab Lecture #9 12 4

5 SDRAM Arbiter Interfaces (2) SDRAM Controller interface not Valid/Ready Arbiter abstracts away that awful interface Arbiter exposes the much simpler valid/ready interface to the video encoder/decoder SDRAM arbiter has a request port and a data port for each module it services Request port is used by the module to send the request (reads or writes) and the address Data used to take in write data or give read data Both ports transfer information via valid/ready 10/24/2008 EECS150 Lab Lecture #9 13 SDRAM Arbiter Interfaces (3) Each of the request or data ports have a FIFO attached Allows modules to send in multiple requests for different addresses before any of the requests even get serviced Allows modules to send in a lot of write data before any writes get served Allows the SDRAM to give back a lot of read data before any read data is needed Your arbiter serve requests such that none of these FIFOs underflow or overflow 10/24/2008 EECS150 Lab Lecture #9 14 SDRAM Arbiter Interfaces (4) 10/24/2008 EECS150 Lab Lecture #9 15 5

6 FIFORAM.v (1) Why a FIFO? Data Rate Matching SDRAM handles data at 32 bits per cycle Encoder handles data at 32 bits per 4 cycles Buffering Encoder needs a continuous stream of data SDRAM might be busy AddressCounters predict what values you want the Arbiter will get those values ahead of when you actually request for them. 10/24/2008 EECS150 Lab Lecture #9 16 FIFORAM.v (2) FIFORAM.v interface Valid/ready handshake One set of handshake signals for FIFO reads One set of handshake signals for FIFO writes Also maintains counts of how many free spaces it has or how full it is Compatible interface should allow you to just plug it into your arbiter 10/24/2008 EECS150 Lab Lecture #9 17 FIFORAM.v (3) FIFORAM R ead Read Latency (<<) C oun t OutReady Read Logic!= Out Prev Write OutSend (OutValid ) InData RAM OutData InAccept In Prev!= (InReady ) Write InValid Write Write Latency (>>) Write Logic C ount 10/24/2008 EECS150 Lab Lecture #9 18 6

7 FIFORAM.v (4) Guarantee never to overflow/underflow Use the counts of how much data the FIFO has Don t service a write request unless you have enough write data Don t service a read request if you don t have enough empty spaces in your FIFO to store the read values 10/24/2008 EECS150 Lab Lecture #9 19 Final Word on Checkpoint 3 Remember: your design is open ended! Only restriction is that arbiter must support valid/ready interface Caution: interface to SDRAMController is awful. Your arbiter must time the WriteData and OutputEnable signals correctly! 10/24/2008 EECS150 Lab Lecture #9 20 Checkpoint 2 Comments (1) Auto-Refresh Don t worry about this to begin with, as you will most likely never need to refresh memory for your project EXTREMELY difficult to implement auto-refresh and pass with checkpoint0bb Advice: get everything completely working first if you want to do this 10/24/2008 EECS150 Lab Lecture #9 21 7

8 Checkpoint 2 Comments (2) Why RAM_CLK = ~Clock? Has to do with setup and hold times t CMS and t CMH must be met 10/24/2008 EECS150 Lab Lecture #9 22 Checkpoint 2 Comments (3) What would happen if RAM_CLK = Clock? Setup or hold times potentially violated 10/24/2008 EECS150 Lab Lecture #9 23 Checkpoint 2 Comments (4) Why does setting RAM_CLK = ~Clock fix it? Now we get 18ns where the data is stable on both sides of the rising edge of RAM_CLK 18ns is much longer than any of the setup or hold times 10/24/2008 EECS150 Lab Lecture #9 24 8

9 What NOT to do in Verilog (1) You are not writing a computer program! Everything you write gets turned into physical hardware on the FPGA Try to keep in mind what is efficient and what is not Only applies for verilog code synthesized into hardware Testbenches are exempt, but regular software restrictions on array sizes apply 10/24/2008 EECS150 Lab Lecture #9 25 What NOT to do in Verilog (2) Extreme Combinational logic input wire [31:0] A; input wire [31:0] B; output wire [63:0] C; assign Out = (A * B) / C + A % 5 Avoid multipliers, dividers, and modulo division in your code (unless it is by a power of 2)! 10/24/2008 EECS150 Lab Lecture #9 26 What NOT to do in Verilog (3) Very big wire or reg declarations wire [32999:0] A; wire [44999:0] B; reg [1999:0] C [4999:0]; Reason is obvious: how are you going to be able to route those wires? 10/24/2008 EECS150 Lab Lecture #9 27 9

10 What NOT to do in Verilog (4) Trying to be smart with how you code example: asynchronous reset register input wire [7:0] A; output reg [7:0] C; Clock or Reset) begin if (Reset) C <= 8 b0; else C <= A; end Please follow the guidelines in FSM.pdf or you will NOT GET WHAT YOU WANT! 10/24/2008 EECS150 Lab Lecture #9 28 What NOT to do in Verilog (5) Putting the Clock signal into Chipscope Chipscope samples on the positive edges of Clock The Clock signal will just look like a constant 1; Chipscoping signals that is synchronized to a Clock different than the Chipscope Clock will give you wrong data (be careful with ~Clock in Checkpoint 2!) 10/24/2008 EECS150 Lab Lecture #9 29 What NOT to do in Verilog (6) Making 300-bit trigger/data ports in Chipscope, then sampling 9999 data points Do the math: How many bits does it take to just store all these Chipscope samples? Chipscope IS hardware! Adding Chipscope to your design will take its toll on Synthesis/PAR times! Do any long tests in Modelsim 10/24/2008 EECS150 Lab Lecture #

11 What NOT to do in Verilog (7) Consequences Unreasonably long Synthesis/Place and Route times (1hr+ for really screwed up designs) Tools might even give up immediately and error Unexplained Heisenbugs that creep up Logic violating setup and hold times because tools simply can t make your logic fast enough to meet Clock period But some paths through your logic will not, and work fine Design might work only on some boards Read your static timing report to see if you violate any timing constraints! 10/24/2008 EECS150 Lab Lecture #9 31 Questions? 10/24/2008 EECS150 Lab Lecture #

EECS150 Lab Lecture 0

EECS150 Lab Lecture 0 EECS150 Lab Lecture 0 Kris Pister, Vincent Lee, Ian Juch, Albert Magyar Electrical Engineering and Computer Sciences University of California, Berkeley 8/24/2012 EECS150-Fa12-Lab0 1 What is lab lecture?

More information

UCB EECS150 Spring UCB EECS150 Spring 2010, Lab Lecture #5

UCB EECS150 Spring UCB EECS150 Spring 2010, Lab Lecture #5 UART Adapter (Mini Project) UCB EECS150 Spring 2010 Lab Lecture #5 1 Agenda The entire CS150 CAD flow A new debugging tool (ChipScope) Lab 5 is to be done in pairs Questions? A very awkward picture of

More information

Checkpoint 3 SDRAM. 1.0 Motivation This checkpoint serves two purposes: 1. Familiarize you with SDRAM 2. Provides an easy way to buffer outgoing video

Checkpoint 3 SDRAM. 1.0 Motivation This checkpoint serves two purposes: 1. Familiarize you with SDRAM 2. Provides an easy way to buffer outgoing video UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Checkpoint 3 SDRAM 1.0 Motivation This checkpoint serves two purposes: 1. Familiarize

More information

Verilog Synthesis and FSMs. UCB EECS150 Fall 2010 Lab Lecture #3

Verilog Synthesis and FSMs. UCB EECS150 Fall 2010 Lab Lecture #3 Verilog Synthesis and FSMs UCB EECS150 Fall 2010 Lab Lecture #3 Agenda Logic Synthesis Behavioral Verilog HDL Blocking vs. Non-Blocking Administrative Info Lab #3: The Combo Lock FSMs in Verilog HDL 2

More information

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited April 2, 2009 John Wawrzynek Spring 2009 EECS150 - Lec20-fsm Page 1 Finite State Machines (FSMs) FSM circuits are a type of sequential

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

Lab 2 Designing with Verilog

Lab 2 Designing with Verilog UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 2 Designing with Verilog 1.0 Motivation In this lab you will learn how to express

More information

Today (1) N64 Controller (Project Checkpoint#1) Today (2) Administrative Info (1)

Today (1) N64 Controller (Project Checkpoint#1) Today (2) Administrative Info (1) Today (1) N64 Controller (Project Checkpoint#1) EECS150 Spring2006 Lab Lecture #6 Philip Godoy Guang Yang Greg Gibeling Administrative Info Lab #4 Solution Lab #5 Tips Project Overview Design Review Requirements

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Fall 2014 Lab #4: Sequential Design: Counters Issued Wed 9/10/14; Due Wed 9/17/14 (11:59pm) This lab assignment

More information

EECS150 - Digital Design Lecture 11 SRAM (II), Caches. Announcements

EECS150 - Digital Design Lecture 11 SRAM (II), Caches. Announcements EECS15 - Digital Design Lecture 11 SRAM (II), Caches September 29, 211 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http//www-inst.eecs.berkeley.edu/~cs15 Fall

More information

CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure

CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure Overview In order to complete the datapath for your insert-name-here machine, the register file and ALU that you designed in checkpoint

More information

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis ECE 4514 Digital Design II A Tools/Methods Lecture Second half of Digital Design II 9 10-Mar-08 L13 (T) Logic Synthesis PJ2 13-Mar-08 L14 (D) FPGA Technology 10 18-Mar-08 No Class (Instructor on Conference)

More information

EECS150: Lab 3, Verilog Synthesis & FSMs

EECS150: Lab 3, Verilog Synthesis & FSMs EECS150: Lab 3, Verilog Synthesis & FSMs UC Berkeley College of Engineering Department of Electrical Engineering and Computer Science February 11, 2009 1 Time Table ASSIGNED DUE Friday, Febuary 6 th Week

More information

ARM 64-bit Register File

ARM 64-bit Register File ARM 64-bit Register File Introduction: In this class we will develop and simulate a simple, pipelined ARM microprocessor. Labs #1 & #2 build some basic components of the processor, then labs #3 and #4

More information

EECS150 Fall 2013 Checkpoint 2: SRAM Arbiter

EECS150 Fall 2013 Checkpoint 2: SRAM Arbiter EECS150 Fall 2013 Checkpoint 2: SRAM Arbiter Prof. Ronald Fearing GSIs: Austin Buchan, Stephen Twigg Department of Electrical Engineering and Computer Sciences College of Engineering, University of California,

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Feb 9, 2010 John Wawrzynek Spring 2010 EECS150 - Lec7-CAD2 Page 1 Finite State Machine Review State Transition

More information

EECS 270 Verilog Reference: Sequential Logic

EECS 270 Verilog Reference: Sequential Logic 1 Introduction EECS 270 Verilog Reference: Sequential Logic In the first few EECS 270 labs, your designs were based solely on combinational logic, which is logic that deps only on its current inputs. However,

More information

Chapter Operation Pinout Operation 35

Chapter Operation Pinout Operation 35 68000 Operation 35 Chapter 6 68000 Operation 6-1. 68000 Pinout We will do no construction in this chapter; instead, we will take a detailed look at the individual pins of the 68000 and what they do. Fig.

More information

EECS150 Lab Lecture 5 Introduction to the Project

EECS150 Lab Lecture 5 Introduction to the Project EECS150 Lab Lecture 5 Introduction to the Project Ian Juch Electrical Engineering and Computer Sciences University of California, Berkeley 9/28/2012 1 Note on Lab4 You should augment the testbenches we

More information

EECS 270 Midterm Exam

EECS 270 Midterm Exam EECS 270 Midterm Exam Fall 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: Problem # Points 1 /11 2 /4

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design 1 In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

1-D Time-Domain Convolution. for (i=0; i < outputsize; i++) { y[i] = 0; for (j=0; j < kernelsize; j++) { y[i] += x[i - j] * h[j]; } }

1-D Time-Domain Convolution. for (i=0; i < outputsize; i++) { y[i] = 0; for (j=0; j < kernelsize; j++) { y[i] += x[i - j] * h[j]; } } Introduction: Convolution is a common operation in digital signal processing. In this project, you will be creating a custom circuit implemented on the Nallatech board that exploits a significant amount

More information

EECS150 - Digital Design Lecture 6 - Logic Simulation

EECS150 - Digital Design Lecture 6 - Logic Simulation EECS150 - Digital Design Lecture 6 - Logic Simulation Sep. 17, 013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

I 3 I 2. ! Language of logic design " Logic optimization, state, timing, CAD tools

I 3 I 2. ! Language of logic design  Logic optimization, state, timing, CAD tools Course Wrap-up Let s Try the Priority Encoder One More Time = =! Priority Encoder Revisited! What (We Hope) You Learned I 3 O 3 I j O j! Design Methodology! I 2 O 2 I O I O Zero Oj Ij Ij CS 5 - Spring

More information

EECS150: Lab 3, Verilog Synthesis & FSMs

EECS150: Lab 3, Verilog Synthesis & FSMs EECS5: Lab 3, Verilog Synthesis & FSMs UC Berkeley College of Engineering Department of Electrical Engineering and Computer Science September 3, 2 Time Table ASSIGNED DUE Friday, September th September

More information

EE251: Tuesday December 4

EE251: Tuesday December 4 EE251: Tuesday December 4 Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework #9 due Thursday at beginning of class Friday is

More information

EECS150 Lab Lecture 2 Design Verification

EECS150 Lab Lecture 2 Design Verification EECS150 Lab Lecture 2 Design Verification Vincent Lee Electrical Engineering and Computer Sciences University of California, Berkeley 9/7/2012 EECS150-Fa12-lab1 1 Lab Logistics T minus three weeks-ish

More information

Lab 2 Fractals! Lecture 5 Revised

Lab 2 Fractals! Lecture 5 Revised Lab 2 Fractals! Lecture 5 Revised Kunle Stanford EE183 Jan 27, 2003 Lab #1 Due Friday at 6pm No class on Friday!!! You can demo anytime before then I ll be in the lab around 5pm for demos Report due next

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Prof. Montek Singh Fall 2017 Lab #3A: Sequential Design: Counters Issued Wed 9/6/17; Due Wed 9/13/17 (11:59pm)

More information

Lab 2 EECE473 Computer Organization & Architecture University of Maine

Lab 2 EECE473 Computer Organization & Architecture University of Maine Lab 2: Verilog Programming Instructor: Yifeng Zhu 50 Points Objectives: 1. Quatus II Programming assignment: PIN assignments, LEDs, switches; 2. Download and test the design on Altera DE2 board 3. Create

More information

Lab 2 Verilog Synthesis & Logic Optimization

Lab 2 Verilog Synthesis & Logic Optimization UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 2 Verilog Synthesis & Logic Optimization 1.0 Motivation The goals of this lab are

More information

EECS 470. Branches: Address prediction and recovery (And interrupt recovery too.) Lecture 7 Winter 2018

EECS 470. Branches: Address prediction and recovery (And interrupt recovery too.) Lecture 7 Winter 2018 EECS 470 Branches: Address prediction and recovery (And interrupt recovery too.) Lecture 7 Winter 2018 Slides developed in part by Profs. Austin, Brehob, Falsafi, Hill, Hoe, Lipasti, Martin, Roth, Shen,

More information

Intro to Digital Logic, Lab 5 Sequential Logic. Lab Objectives. Assigned Task Mapping sequential logic to the FPGA

Intro to Digital Logic, Lab 5 Sequential Logic. Lab Objectives. Assigned Task Mapping sequential logic to the FPGA Intro to Digital Logic, Lab 5 Sequential Logic Lab Objectives Now that we have mastered combinational logic, it is time to figure out sequential circuits. In this lab you will download a premade design

More information

EECS 150 Fall 2012 Checkpoint 2: Cache Integration

EECS 150 Fall 2012 Checkpoint 2: Cache Integration Memory Arbiter Xilinx MIG EECS 150 Fall 2012 Checkpoint 2: Cache Integration Prof. Kris Pister TAs: Vincent Lee, Ian Juch, Albert Magyar Department of Electrical Engineering and Computer Sciences College

More information

6.001 Notes: Section 17.5

6.001 Notes: Section 17.5 6.001 Notes: Section 17.5 Slide 17.5.1 Now, let's look at one example in which changing the evaluation model allows us to explore a very different kind of computational problem. Our goal is to show how

More information

Quick Introduction to SystemVerilog: Sequental Logic

Quick Introduction to SystemVerilog: Sequental Logic ! Quick Introduction to SystemVerilog: Sequental Logic Lecture L3 8-545 Advanced Digital Design ECE Department Many elements Don Thomas, 24, used with permission with credit to G. Larson Today Quick synopsis

More information

Using ChipScope. Overview. Detailed Instructions: Step 1 Creating a new Project

Using ChipScope. Overview. Detailed Instructions: Step 1 Creating a new Project UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Using ChipScope Overview ChipScope is an embedded, software based logic analyzer. By

More information

Project Overview. Chipcon Transceiver. Transceiver Overview (1) Transceiver Overview (2) EECS150 Spring 2006 Lab Lecture #8

Project Overview. Chipcon Transceiver. Transceiver Overview (1) Transceiver Overview (2) EECS150 Spring 2006 Lab Lecture #8 Project Overview Chipcon 802.15.4 Transceiver EECS150 Spring 2006 Lab Lecture #8 David Lin N64 Controller User input to your game. Video Game output to the user. Chipcon Transceiver: the FUN Two-Week One!

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 7 Pipelining I 2005-9-20 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: David Marquardt and Udam Saini www-inst.eecs.berkeley.edu/~cs152/ Office Hours

More information

08 - Address Generator Unit (AGU)

08 - Address Generator Unit (AGU) October 2, 2014 Todays lecture Memory subsystem Address Generator Unit (AGU) Schedule change A new lecture has been entered into the schedule (to compensate for the lost lecture last week) Memory subsystem

More information

EECS 470 Lab 6. SystemVerilog. Department of Electrical Engineering and Computer Science College of Engineering. (University of Michigan)

EECS 470 Lab 6. SystemVerilog. Department of Electrical Engineering and Computer Science College of Engineering. (University of Michigan) EECS 470 Lab 6 SystemVerilog Department of Electrical Engineering and Computer Science College of Engineering University of Michigan Thursday, October. 18 th, 2018 Thursday, October. 18 th, 2018 1 / Overview

More information

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS Spring Quarter 2018 LAB 2: FPGA Synthesis and Combinational Logic Design Objective: This lab covers

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Am2901 Completion and Integration with Am9080a

Am2901 Completion and Integration with Am9080a Am2901 Completion and Integration with Am9080a A. Objectives Written By Kurt English This laboratory assignment is an introduction to the Verilog Hardware Description Language, which will be used to complete

More information

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project - Fall 2002 Lecture 20 Synthesis Sequential Logic Announcements Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project» Teams

More information

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks]

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks] Digital Systems EEE4084F 2017-05-10 FPGA Introduction Verilog and Xilinx ISE [30 Marks] Background This practical is divided into two parts. The first is a tutorial that shows you how to set up a new FPGA

More information

CS250 VLSI Systems Design Lecture 9: Patterns for Processing Units and Communication Links

CS250 VLSI Systems Design Lecture 9: Patterns for Processing Units and Communication Links CS250 VLSI Systems Design Lecture 9: Patterns for Processing Units and Communication Links John Wawrzynek, Krste Asanovic, with John Lazzaro and Yunsup Lee (TA) UC Berkeley Fall 2010 Unit-Transaction Level

More information

Design of a High-Level Data Link Controller

Design of a High-Level Data Link Controller ECE 551 Course Project Design of a High-Level Data Link Controller Interim Report - Due Wednesday, November 28, 2001, 4:00 PM Final Report - Due Wednesday, December 12, 2001, 4:00 PM Project Teams and

More information

CS250 VLSI Systems Design Lecture 8: Introduction to Hardware Design Patterns

CS250 VLSI Systems Design Lecture 8: Introduction to Hardware Design Patterns CS250 VLSI Systems Design Lecture 8: Introduction to Hardware Design Patterns John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 Lecture

More information

Introduction. Overview. Top-level module. EE108a Lab 3: Bike light

Introduction. Overview. Top-level module. EE108a Lab 3: Bike light Version 2.0 David Black-Schaffer Version 2.2 David Black-Schaffer Introduction In lab 3 you are going to get your first taste of sequential logic by building a system of finite state machines, timers,

More information

Reset-able and Enable-able Registers

Reset-able and Enable-able Registers VERILOG II Reset-able and Enable-able Registers Sometimes it is convenient or necessary to have flip flops with special inputs like reset and enable When designing flip flops/registers, it is ok (possibly

More information

Page 1. Goals for Today" TLB organization" CS162 Operating Systems and Systems Programming Lecture 11. Page Allocation and Replacement"

Page 1. Goals for Today TLB organization CS162 Operating Systems and Systems Programming Lecture 11. Page Allocation and Replacement Goals for Today" CS162 Operating Systems and Systems Programming Lecture 11 Page Allocation and Replacement" Finish discussion on TLBs! Page Replacement Policies! FIFO, LRU! Clock Algorithm!! Working Set/Thrashing!

More information

Using the ChipScope Pro for Testing HDL Designs on FPGAs

Using the ChipScope Pro for Testing HDL Designs on FPGAs Using the ChipScope Pro for Testing HDL Designs on FPGAs Compiled by OmkarCK CAD Lab, Dept of E&ECE, IIT Kharagpur. Introduction: Simulation based method is widely used for debugging the FPGA design on

More information

VERILOG 5: TESTING. If you don t test it, it isn t going to work - Mark Horowitz

VERILOG 5: TESTING. If you don t test it, it isn t going to work - Mark Horowitz VERILOG 5: TESTING If you don t test it, it isn t going to work - Mark Horowitz Testing Hardware blocks (*.v) Will be synthesized into hardware circuits Testing blocks (*.vt OR *_tb.v OR tb_*.v) Also called

More information

Lab 6 Debugging. Objective. Introduction. Prelab

Lab 6 Debugging. Objective. Introduction. Prelab UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 6 Debugging Objective You will explore several techniques for debugging a digital

More information

M A S S A C H U S E T T S I N S T I T U T E O F T E C H N O L O G Y DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE

M A S S A C H U S E T T S I N S T I T U T E O F T E C H N O L O G Y DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE M A S S A C H U S E T T S I N S T I T U T E O F T E C H N O L O G Y DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE 6.111 Introductory Digital Systems Laboratory Fall 2017 Lecture PSet #6 of

More information

DIGITAL SYSTEM DESIGN

DIGITAL SYSTEM DESIGN DIGITAL SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING Digital System Design 1 Name: Registration No: Roll No: Semester:

More information

} Evaluate the following expressions: 1. int x = 5 / 2 + 2; 2. int x = / 2; 3. int x = 5 / ; 4. double x = 5 / 2.

} Evaluate the following expressions: 1. int x = 5 / 2 + 2; 2. int x = / 2; 3. int x = 5 / ; 4. double x = 5 / 2. Class #10: Understanding Primitives and Assignments Software Design I (CS 120): M. Allen, 19 Sep. 18 Java Arithmetic } Evaluate the following expressions: 1. int x = 5 / 2 + 2; 2. int x = 2 + 5 / 2; 3.

More information

Today. Implementation of FSMs. Designing Digital System (1) Designing Digital System (2)

Today. Implementation of FSMs. Designing Digital System (1) Designing Digital System (2) Today mplementation of FSMs EECS50 Spring 2006 Lab Lecture #3 Guang Yang Greg Gibeling Designing Digital System Efficient Hardware Design HDL Simulation locking vs. Non-locking dministrative nfo Lab #3:

More information

802.1Qav + P802.1Qbv Time-gated Shapers

802.1Qav + P802.1Qbv Time-gated Shapers 802.1Qav + P802.1Qbv Time-gated Shapers Norman Finn Cisco Systems V01 November 5, 2014 new-nfinn-input-gates-1014-v01.pdf IEEE 802 plenary, San Antonio, November, 2014 1 802.1Q: Priority (including weighted

More information

CS162 Operating Systems and Systems Programming Lecture 11 Page Allocation and Replacement"

CS162 Operating Systems and Systems Programming Lecture 11 Page Allocation and Replacement CS162 Operating Systems and Systems Programming Lecture 11 Page Allocation and Replacement" October 3, 2012 Ion Stoica http://inst.eecs.berkeley.edu/~cs162 Lecture 9 Followup: Inverted Page Table" With

More information

EECS150 - Digital Design Lecture 6 - Logic Simulation. Encoder Example

EECS150 - Digital Design Lecture 6 - Logic Simulation. Encoder Example EECS150 - Digital Design Lecture 6 - Logic Simulation Feb 7, 2013 John Wawrzynek Spring 2013 EECS150 - Lec06-sim Page 1 Encoder Example What is y if x == 4 b1111? always @(x) : encode if (x == 4'b0001)

More information

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB)

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB) CS6290 Memory Views of Memory Real machines have limited amounts of memory 640KB? A few GB? (This laptop = 2GB) Programmer doesn t want to be bothered Do you think, oh, this computer only has 128MB so

More information

Sequential Logic Design

Sequential Logic Design Sequential Logic Design Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu (Guest starring: Frank K. Gürkaynak and Aanjhan Ranganathan) http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 7 Pipelining I 2006-9-19 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Udam Saini and Jue Sun www-inst.eecs.berkeley.edu/~cs152/ Last Time: ipod

More information

Xilinx ChipScope ICON/VIO/ILA Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial Xilinx ChipScope ICON/VIO/ILA Tutorial The Xilinx ChipScope tools package has several modules that you can add to your Verilog design to capture input and output directly from the FPGA hardware. These

More information

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering VERILOG FUNDAMENTALS HDLs HISTORY HOW FPGA & VERILOG ARE RELATED CODING IN VERILOG HDLs HISTORY HDL HARDWARE DESCRIPTION LANGUAGE

More information

EE251: Thursday November 30

EE251: Thursday November 30 EE251: Thursday November 30 Course Evaluation Forms-fill out Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework due next Tuesday,

More information

Homework deadline extended to next friday

Homework deadline extended to next friday Norm Midterm Grading Finished Stats on course homepage Pickup after this lab lec. Regrade requests within 1wk of posted solution Homework deadline extended to next friday Description Design Conception

More information

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu November 6, 2006 Classes November 6 and 8 are in 429 Dana! Lecture 15: Homework 5: Datapath How to write a testbench for synchronous

More information

EECS 470: Computer Architecture. Discussion #2 Friday, September 14, 2007

EECS 470: Computer Architecture. Discussion #2 Friday, September 14, 2007 EECS 470: Computer Architecture Discussion #2 Friday, September 14, 2007 Administrative Homework 1 due right now Project 1 due tonight Make sure its synthesizable Homework 2 due week from Wednesday Project

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

CS 61C: Great Ideas in Computer Architecture. Direct Mapped Caches

CS 61C: Great Ideas in Computer Architecture. Direct Mapped Caches CS 61C: Great Ideas in Computer Architecture Direct Mapped Caches Instructor: Justin Hsia 7/05/2012 Summer 2012 Lecture #11 1 Review of Last Lecture Floating point (single and double precision) approximates

More information

ECE251: Thursday November 8

ECE251: Thursday November 8 ECE251: Thursday November 8 Universal Asynchronous Receiver & Transmitter Text Chapter 22, Sections 22.1.1-22.1.4-read carefully TM4C Data Sheet Section 14-no need to read this A key topic but not a lab

More information

Memories: a practical primer

Memories: a practical primer Memories: a practical primer The good news: huge selection of technologies Small & faster vs. large & slower Every year capacities go up and prices go down New kid on the block: high density, fast flash

More information

ECE/CS 5780/6780: Embedded System Design

ECE/CS 5780/6780: Embedded System Design ECE/CS 5780/6780: Embedded System Design Scott R. Little Lecture 8: Interrupt Synchronization Scott R. Little (Lecture 8: Interrupts) ECE/CS 5780/6780 1 / 22 Administrivia Midterm 1 will be on February

More information

CS 136: Advanced Architecture. Review of Caches

CS 136: Advanced Architecture. Review of Caches 1 / 30 CS 136: Advanced Architecture Review of Caches 2 / 30 Why Caches? Introduction Basic goal: Size of cheapest memory... At speed of most expensive Locality makes it work Temporal locality: If you

More information

EECS 150 Fall 2012 Checkpoint 2: Cache Integration

EECS 150 Fall 2012 Checkpoint 2: Cache Integration Memory Arbiter Xilinx MIG EECS 150 Fall 2012 Checkpoint 2: Cache Integration Prof. John Wawrzynek TAs: Vincent Lee, Shaoyi Cheng Department of Electrical Engineering and Computer Sciences College of Engineering,

More information

FIFO Generator v13.0

FIFO Generator v13.0 FIFO Generator v13.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Native Interface FIFOs.............................................................. 5

More information

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc 2/29/2016 Frederic Doucet, Qualcomm Atheros, Inc 2 What to Standardize Next Benefit of current standard: Provides

More information

EECS150: Spring 2010 Project Computer System with Accelerated Graphics

EECS150: Spring 2010 Project Computer System with Accelerated Graphics EECS150: Spring 2010 Project Computer System with Accelerated Graphics UC Berkeley College of Engineering Department of Electrical Engineering and Computer Science Revision A 1 Time Table ASSIGNED DUE

More information

Designing Safe Verilog State Machines with Synplify

Designing Safe Verilog State Machines with Synplify Designing Safe Verilog State Machines with Synplify Introduction One of the strengths of Synplify is the Finite State Machine compiler. This is a powerful feature that not only has the ability to automatically

More information

CHECKPOINT 3 Wireless Transceiver

CHECKPOINT 3 Wireless Transceiver UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE CHECKPOINT 3 Wireless Transceiver 1.0 MOTIVATION The wireless, radio-frequency (RF)

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

VERILOG 5: TESTING. If you don t test it, it isn t going to work - Mark Horowitz

VERILOG 5: TESTING. If you don t test it, it isn t going to work - Mark Horowitz VERILOG 5: TESTING If you don t test it, it isn t going to work - Mark Horowitz Testing Hardware blocks (*.v) Will be synthesized into hardware circuits Testing blocks (*.vt OR *_tb.v OR tb_*.v) Also called

More information

ECE 274 Digital Logic Verilog

ECE 274 Digital Logic Verilog ECE 274 igital Logic Verilog egister ehavior equential circuits have storage egister: most common storage component N-bit register stores N bits tructure may consist of connected flip-flops I3 I2 I I 3

More information

ENEE 245 Lab 1 Report Rubrics

ENEE 245 Lab 1 Report Rubrics ENEE 4 Lab 1 Report Rubrics Design Clearly state the design requirements Derive the minimum SOP Show the circuit implementation. Draw logic diagram and wiring diagram neatly Label all the diagrams/tables

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

Verilog introduction. Embedded and Ambient Systems Lab

Verilog introduction. Embedded and Ambient Systems Lab Verilog introduction Embedded and Ambient Systems Lab Purpose of HDL languages Modeling hardware behavior Large part of these languages can only be used for simulation, not for hardware generation (synthesis)

More information

ENEE245 Digital Circuits and Systems Lab Manual

ENEE245 Digital Circuits and Systems Lab Manual ENEE245 Digital Circuits and Systems Lab Manual Department of Engineering, Physical & Computer Sciences Montgomery College Version 1.1 Copyright Prof. Lan Xiang (Do not distribute without permission) 1

More information

Note: This is a miniassignment and the grading is automated. If you do not submit it correctly, you will receive at most half credit.

Note: This is a miniassignment and the grading is automated. If you do not submit it correctly, you will receive at most half credit. Com S 227 Fall 2018 Miniassignment 1 40 points Due Date: Friday, October 12, 11:59 pm (midnight) Late deadline (25% penalty): Monday, October 15, 11:59 pm General information This assignment is to be done

More information

ECEN 468 Advanced Logic Design

ECEN 468 Advanced Logic Design ECEN 468 Advanced Logic Design Lecture 28: Synthesis of Language Constructs Synthesis of Nets v An explicitly declared net may be eliminated in synthesis v Primary input and output (ports) are always retained

More information

ENEE245 Digital Circuits and Systems Lab Manual

ENEE245 Digital Circuits and Systems Lab Manual ENEE245 Digital Circuits and Systems Lab Manual Department of Engineering, Physical & Computer Sciences Montgomery College Modified Fall 2017 Copyright Prof. Lan Xiang (Do not distribute without permission)

More information

EECS 470. Branches: Address prediction and recovery (And interrupt recovery too.) Lecture 6 Winter 2018

EECS 470. Branches: Address prediction and recovery (And interrupt recovery too.) Lecture 6 Winter 2018 EECS 470 Branches: Address prediction and recovery (And interrupt recovery too.) Lecture 6 Winter 2018 Slides developed in part by Profs. Austin, Brehob, Falsafi, Hill, Hoe, Lipasti, Martin, Roth, Shen,

More information

Design of Embedded DSP Processors Unit 5: Data access. 9/11/2017 Unit 5 of TSEA H1 1

Design of Embedded DSP Processors Unit 5: Data access. 9/11/2017 Unit 5 of TSEA H1 1 Design of Embedded DSP Processors Unit 5: Data access 9/11/2017 Unit 5 of TSEA26-2017 H1 1 Data memory in a Processor Store Data FIFO supporting DSP executions Computing buffer Parameter storage Access

More information