About this Topic. Topic 4. Arithmetic Circuits. Different adder architectures. Basic Ripple Carry Adder

Size: px
Start display at page:

Download "About this Topic. Topic 4. Arithmetic Circuits. Different adder architectures. Basic Ripple Carry Adder"

Transcription

1 About thi Topi Topi 4 Arithmeti Ciruit Peter Cheung Department of Eletrial & Eletroni Engineering Imperial College London URL: p.heung@imperial.a.uk Comparion of adder arhiteture on FPGA Multiple operand addition Bai multiplier Booth reoding multiplier Fied point v Floating Point Floating point Unit arhiteture Eample: FIR and IIR filter implementation Referene Computer Arithmeti, B. Parhami, OUP Computer Arithmeti Algorithm, I. Koren, AK Peter Topi 4 Slide Topi 4 Slide 2 Different adder arhiteture Bai Ripple Carr Adder Reviion on lat ear digital eletroni II oure ( Common adder arhiteture are: Ripple arr adder Carr lookahead adder Carr kip (or arr elet) adder Carr ave adder Parallel prefi adder (Brent & Kung ) Shift Carr FF Clok 3 3 i+ i i FA i (a) Bit-erial adder. i Shift Uing full-adder in building bit-erial and ripple-arr adder. 32 out FA FA FA in 32 3 (b) Ripple-arr adder. Topi 4 Slide 3 Topi 4 Slide 4

2 Critial Path Through a Ripple-Carr Adder Adder Condition and Eeption k k k 2 k 2 T ripple-add = T FA (, out ) + (k 2) T FA ( in out) + T FA ( in ) out k k k 2 FA FA... 2 FA FA in k k k-2 k 2 Negative k k out k k 2 2 FA FA... FA FA k k 2 in Zero k k 2 Two -omplement adder with proviion for deteting ondition and eeption. Critial path in a k-bit ripple-arr adder. overflow 2 -ompl = k k k k k k overflow 2 -ompl = k k = k k k k Topi 4 Slide 5 Topi 4 Slide 6 Saturating Adder Full Carr Lookahead Saturating (aturation) arithmeti: When a reult magnitude i too large, do not wrap around; rather, provide the mot poitive or the mot negative value that i repreentable in the number format Eample In 8-bit 2 -omplement format, we have: (wraparound); 2 + at (aturating) Saturating arithmeti in deirable in man DSP appliation in Deigning aturating adder Unigned (quite ea) Adder 3 2 Theoretiall, it i poible to derive eah um digit diretl from the input that affet it Signed (onl lightl harder) Saturation value Carr-lookahead adder deign i impl a wa of reduing the ompleit of thi ideal, but impratial, arrangement b hardware haring among the variou lookahead iruit Topi 4 Slide 7 Topi 4 Slide 8

3 Unrolling the Carr Reurrene Carr-Lookahead Adder Deign Reall the generate g, propagate p ignal: Signal Radi r Binar g i i iff i + i r i i p i i iff i + i = r i i i ( i + i + i ) mod r i i i The arr reurrene an be unrolled to obtain eah arr ignal diretl from input, rather than through propagation i = g i + i p i = g i + (g i 2 + i 2 p i 2 ) p i = g i + g i 2 p i + i 2 p i 2 p i = g i + g i 2 p i + g i 3 p i 2 p i + i 3 p i 3 p i 2 p i = g i + g i 2 p i + g i 3 p i 2 p i + g i 4 p i 3 p i 2 p i + i 4 p i 4 p i 3 p i 2 p i =... Blok generate and propagate ignal g [i,i+3] = g i+3 + g i+2 p i+3 + g i+ p i+2 p i+3 + g i p i+ p i+2 p i+3 p [i,i+3] = p i p i+ p i+2 p i+3 i+3 i+2 g p g p g p g p i+3 i+3 g i+2 i+2 4-bit lookahead arr generator [i,i+3] p [i,i+3] i+ i+ Shemati diagram of a 4-bit lookahead arr generator. i+ i i i Topi 4 Slide 9 Topi 4 Slide A Building Blok for Carr-Lookahead Addition p [i,i+3] Combining Blok g and p Signal 4 3 Four-bit adder Four-bit lookahead arr generator. p 3 g 3 p 2 g 2 g [i,i+3] i+3 Blok Signal Generation Intermediate Carrie p i+3 g i+3 p i+2 g i+2 j 3 gp j j i j 2 i 2 i 3 j + j + j + 2 gp gp gp i Blok generate and propagate ignal an be ombined in the ame wa a bit g and p ignal to form g and p ignal for wider blok 2 p i+2 p i+ 4-bit lookahead arr generator i g p g i+ i g i+ p i g i gp Combining of g and p ignal of four (ontiguou or overlapping) blok of arbitrar width into the g and p ignal for the overall blok [i, j 3 ]. Topi 4 Slide Topi 4 Slide 2

4 Carr-Selet Adder Multilevel Carr-Selet Adder k - k /2 k - k /2-bit adder k /2-bit adder k /2-bit adder in k - 3k /4 k /4-bit adder 3k /4 - k /2 k /4-bit adder k /2 - k /4 k /4 - k /4-bit adder k /4-bit adder in k/2+ k/2+ k/2 k/4+ k/4+ k/4 k/4 k/4+ k/4+ k/4 Mu k/2 out k/2 Mu Mu k/4 High k /2 bit Low k /2 bit Carr-elet adder for k-bit number built from three k/2-bit adder. C elet-add (k) = 3C add (k/2) + k/2 + T elet-add (k) = T add (k/2) + Mu k/2+ k/2 out, High k /2 bit Middle k /4 bit Low k /4 bit Two-level arr-elet adder built of k/4-bit adder. k/4 Topi 4 Slide 3 Topi 4 Slide 4 Comparion between adder on modern FPGA Reult for Strati II Area Saritan, Rodella & Diaz, Comparion of addition truture nthei over ommerial FPGA, International Conf. on Deign & Tet, 26 Page():43-47 Compare ripple arr adder (RCA), arr lookahead adder (CLA), arr elet adder (CSLA), Brent&Kung parallel prefi adder (PA-BK) and finall not peifing an truture and let the nthei tool deide! Ue Altera Strati II and Xilin Virte-4 (not latet, but prett reent). Reult ummar: Motl a epeted, fater mean larger Surpriing, nthei tool doe the bet: both fat and mall!! Morale at low level, diffiult to beat modern nthei tool Reult hown in the net four lide. Soure: Saritan Topi 4 Slide 5 Topi 4 Slide 6

5 Reult for Strati II Dela Reult for Virte 4 Area Soure: Saritan Soure: Saritan Topi 4 Slide 7 Topi 4 Slide 8 Reult for Virte-4 Dela Multiplier and DSP Blok Remember that both Altera and Xilin FPGA have embedded multiplier with aumulator et. Thi part of the leture will look at ome of the ommon multiplier hardware (i.e. what uh embedded multiplier iruit might look like). We will alo onider appliation of FPGA embedded multiplier for FIR Filter implementation. Topi to over are: Bai multiplier Booth reoded multiplier Arra multiplier FIR Filter Compiler Soure: Saritan Topi 4 Slide 9 Topi 4 Slide 2

6 Multipliation of two 4-bit unigned number An eample Notation: a Multipliand a k a k 2... a a Multiplier k k 2... p Produt (a ) p 2k p 2k 2... p 3 p 2 p p Initiall, we aume unigned operand a Multipliand Multiplier a 2 a 2 a 22 2 a 23 3 p Partial produt bit-matri Produt Topi 4 Slide 2 Topi 4 Slide 22 Bai Sequential Multiplier Performing Add and Shift in One Clok Cle Shift Multiplier Doublewidth partial produt p (j) Adder arr-out Adder um k k Unued part of the multiplier Shift Partial produt p (j) Multiplia nd a Mu k j k To adder k To mu ontrol out a j k Adder Combining the loading and hifting of the double-width regiter holding the partial produt and the partiall ued multiplier. k Topi 4 Slide 23 Topi 4 Slide 24

7 Eample of a detail 44 unigned equential multiplier 2 omplement igned multipliation Topi 4 Slide 25 Topi 4 Slide equential igned multiplier iruit Reoded Multiplier Booth Algorithm () Topi 4 Slide 27 Topi 4 Slide 28

8 Reoded Multiplier Booth Algorithm () Proof of Booth Algorithm Booth Algorithm doe thi 2 omplement rep of Topi 4 Slide 29 Topi 4 Slide 3 Sequential Booth Multiplier Multi-bit equential multiplier +/- B±A Topi 4 Slide 3 Topi 4 Slide 32

9 Modified Booth Algorithm (2 bit at a time) Modified Booth Reoding (2 bit at a time) Topi 4 Slide 33 Topi 4 Slide 34 Modified Booth Multiplier Ciruit Modified Booth Multiplier Ciruit Topi 4 Slide 35 Topi 4 Slide 36

10 Arra Multiplier Arra Multiplier obviou, but low verion Topi 4 Slide 37 Topi 4 Slide 38 Arra Multiplier uing arr-ave adder Embedded Multiplier in Altera Clone II () Soure: Topi 4 Slide 39 Topi 4 Slide 4

11 Embedded Multiplier in Altera Clone II (2) Embedded Multiplier in Altera Clone II (3) Soure: Soure: Topi 4 Slide 4 Topi 4 Slide 42 Appliation of Multiplier: Tpial DSP Stem Bai FIR Filter Altera and Xilin provide FIR filter ompiler upport. Thee eample are taken from Altera FIR Compiler Uer Guide. MegaCore funtion pre-deigned ore (large module). LPM Funtion are parameteried building blok (e.g. adder, multiplier) Soure: Altera and Xilin provide FIR filter ompiler upport. Thee eample are taken from Altera FIR Compiler Uer Guide. Soure: Topi 4 Slide 43 Topi 4 Slide 44

12 Eploiting Smmetri Coeffiient (7-tap) Parallel Implementation of FIR Filter Soure: Soure: Topi 4 Slide 45 Topi 4 Slide 46 Serial Implementation of FIR Filter Multibit Serial Implementation of FIR Filter Soure: Soure: Topi 4 Slide 47 Topi 4 Slide 48

13 FIR Filter Compiler Deign Spae Floating-Point Number No finite number tem an repreent all real number Variou tem an be ued for a ubet of real number Fied-point ± w. f Low preiion and/or range Rational ± p / q Diffiult arithmeti Floating-point ± b e Mot ommon heme Logarithmi ± log b Limiting ae of floating-point Fied-point number = (. ) two Small number = (. ) two Large number Floating-point number = ± b e or ± ignifiand bae eponent Note that a floating-point number ome with two ign: Number ign, uuall repreented b a eparate bit Eponent ign, uuall embedded in the biaed eponent Soure: Topi 4 Slide 49 Topi 4 Slide 5 Floating-Point Number Format and Ditribution Tpial floatingpoint number format. Subrange and peial value in floating-point number repreentation. Sparer Sign : + : ± e Dener E p o n e n t : Signed integer, often repreented a unigned value b adding a bia Range with h bit: [ bia, 2 h bia] Dener S i g n i f i a n d : Repreented a a fied-point number Uuall normalized b hifting, o that the MSB beome nonzero. In radi 2, the fied leading an be removed to ave one bit; thi bit i known a "hidden ". Negative number Poitive number ma FLP min ± min + FLP + ma + + Sparer The ANSI/IEEE Floating-Point Repreentation 8 bit, bia = 27, 26 to 27 Sign Eponent Short (32-bit) format bit, bia = 23, 22 to bit for frational part (plu hidden in integer part) Signifiand 52 bit for frational part (plu hidden in integer part) IEEE 754 Standard (now being revied to ield IEEE 754R) region Underflow region region Long (64-bit) format Midwa eample Underflow eample Tpial eample eample Topi 4 Slide 5 Topi 4 Slide 52

14 Overview of IEEE 754 Standard Format Eponent Enoding Some feature of the ANSI/IEEE tandard floating-point number repreentation format. Feature Single/ Short Double/ Long Word width (bit) Signifiand bit 23 + hidden 52 + hidden Signifiand range [, ] [, ] Eponent bit 8 Eponent bia Zero (±) e + bia =, f = e + bia =, f = Denormal e + bia =, f e + bia =, f repreent ±.f 2 26 repreent ±.f 2 22 Infinit (± ) e + bia =255, f = e + bia = 247, f = Not-a-number (NaN) e + bia = 255, f e + bia = 247, f Ordinar number e + bia [, 254] e + bia [, 246] e [ 26, 27] e [ 22, 23] repreent.f 2 e repreent.f 2 e min ma Topi 4 Slide 53 Eponent enoding in 8 bit for the ingle/hort (32-bit) ANSI/IEEE format Deimal ode He ode Eponent value E 7F 8 FE FF f = : Repreentation of ± f : Repreentation of denormal,.f 2 26 Eponent enoding in bit for the double/long (64-bit) format i imilar region Sparer f = : Repreentation of ± f : Repreentation of NaN Negative number Poitive number ma FLP min ± min + FLP + ma + + Midwa eample.f 2 e Dener Underflow eample Underflow region Dener Tpial eample Sparer region eample Topi 4 Slide 54 Floating-Point Adder/Subtrator Aume e e2; alignment hift (prehift) i needed if e > e2 (± b e ) + (± 2 b e2 ) = (± b e ) + (± 2/b e e2 ) b e Eample: Number to be added: = 25. = 2. Operand after alignment hift: - = 25. = 25. Reult of addition: = 25. = 25. = (± ± 2/b e e2 ) b e = ± b e Operand with maller eponent to be prehifted Etra bit to be rounded off Rounded um Like ign: Poible -poition normalizing right hift Different ign: Poible left hift b man poition /underflow during addition or normalization Topi 4 Slide 55 FP Adder/Sub Iolate the ign, eponent, ignifiand Reintate the hidden Convert operand to internal format Identif peial operand, eeption Other ke part of the adder: Signifiand aligner (prehifter) Reult normalizer (pothifter), inluding leading detetor/preditor Rounding unit Sign logi Converting internal to eternal repreentation, if required, mut be done at the rounding tage Combine ign, eponent, ignifiand Hide (remove) the leading Identif peial outome, eeption Add/ Sub Control & ign logi Sign Sign Eponent Add Mu Eponent Operand Unpak Sub out Pak Signifiand Seletive omplement and poible wap Align ignifiand Add Normalize Round and eletive omplement Normalize Signifiand Sum/Differe ne Topi 4 Slide 56 in

15 re- and Pothifting Leading Zero / One Detetion or Predition i+3 i+3 i i i+2 i+... Shift amount to- Mu Enable Four-tage ombinational hifter for prehifting an operand b to 5 bit. LSB 4-Bit Shift Amount MSB One bit-lie of a ingle-tage pre-hifter. i+8 i+7 i+8 i+7 i+6 i+5 i+6 i+5 i+4 i+3 i+4 i+3 i+2 i+ i+2 i+ i i Leading zero predition, with adder input (. 2...) 2 -ompl and (. 2...) 2 -ompl Wa in whih leading / are generated: p p... p p g a a... a a g... p p... p p g a a... a a p... p p... p p a g g... g g a... p p... p p a g g... g g p... Predition might be done in two tage: Coare etimate, ued for oare hift Fine tuning of etimate, ued for fine hift In thi wa, predition an be partiall overlapped with hifting Leading zero/one ounting Adjut Eponent Adjut Eponent Count Leading / Shift amount Predit Leading / Shift amount Signifiand Adder Pot-Shifter Leading zero/one predition. Signifiand Adder Pot-Shifter Topi 4 Slide 57 Topi 4 Slide 58 Floating-Point Multiplier Further referene for Floating Point on FPGA (± b e ) (± 2 b e2 ) = (± 2) b e+e2 2 [, 4): ma need pothifting or underflow an our during multipliation or normalization Speed onideration Man multiplier produe the lower half of the produt (rounding info) earl Need for normalizing right-hift i known at or near the end Hene, rounding an be integrated in the generation of the upper half, b produing two verion of thee bit XOR Add Eponent Floating-point operand Adjut Eponent Adjut Eponent Unpak Pak Multipl Signifiand Normalize Round Normalize An anali of the double-preiion floating-point FFT on FPGA Hemmert, K.S.; Underwood, K.D.; 3th Annual IEEE Smpoium on Field-Programmable Cutom Computing Mahine, 8-2 April 25 Page():7-8 Arhitetural Modifiation to Improve Floating-Point Unit Effiien in FPGA Beauhamp, M.J.; Hauk, S.; Underwood, K.D.; Hemmert, K.S.; International Conferene on Field Programmable Logi and Appliation, 28-3 Aug. 26 Page(): - 6 Double preiion floating-point arithmeti on FPGA Pahalaki, S.; Lee, P.; IEEE International Conferene on Field-Programmable Tehnolog (FPT), 5-7 De. 23 Page(): Produt Topi 4 Slide 59 Topi 4 Slide 6

Topics. FPGA Design EECE 277. Number Representation and Adders. Class Exercise. Laboratory Assignment #2

Topics. FPGA Design EECE 277. Number Representation and Adders. Class Exercise. Laboratory Assignment #2 FPGA Deign EECE 277 Number Repreentation and Adder Dr. William H. Robinon Februar 2, 25 Topi There are kind of people in the world, thoe that undertand binar and thoe that don't. Unknown Adminitrative

More information

Combined Radix-10 and Radix-16 Division Unit

Combined Radix-10 and Radix-16 Division Unit Combined adix- and adix-6 Diviion Unit Tomá ang and Alberto Nannarelli Dept. of Eletrial Engineering and Computer Siene, Univerity of California, Irvine, USA Dept. of Informati & Math. Modelling, Tehnial

More information

VLSI Design 9. Datapath Design

VLSI Design 9. Datapath Design VLSI Deign 9. Datapath Deign 9. Datapath Deign Lat module: Adder circuit Simple adder Fat addition Thi module omparator Shifter Multi-input Adder Multiplier omparator detector: A = 1 detector: A = 11 111

More information

Laboratory Exercise 6

Laboratory Exercise 6 Laboratory Exercie 6 Adder, Subtractor, and Multiplier The purpoe of thi exercie i to examine arithmetic circuit that add, ubtract, and multiply number. Each type of circuit will be implemented in two

More information

Laboratory Exercise 6

Laboratory Exercise 6 Laboratory Exercie 6 Adder, Subtractor, and Multiplier The purpoe of thi exercie i to examine arithmetic circuit that add, ubtract, and multiply number. Each circuit will be decribed in VHL and implemented

More information

Laboratory Exercise 6

Laboratory Exercise 6 Laboratory Exercie 6 Adder, Subtractor, and Multiplier The purpoe of thi exercie i to examine arithmetic circuit that add, ubtract, and multiply number. Each circuit will be decribed in Verilog and implemented

More information

Laboratory Exercise 6

Laboratory Exercise 6 Laboratory Exercie 6 Adder, Subtractor, and Multiplier a a The purpoe of thi exercie i to examine arithmetic circuit that add, ubtract, and multiply number. Each b c circuit will be decribed in Verilog

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 4

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 4 DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 4 Integer DATA TYPE STRUCTURAL DESCRIPTION Hierarchical deign: port-map, for-generate, ifgenerate. Eample: Adder, comparator, multiplier, Look-up Table, Barrel

More information

Overview. EECS Components and Design Techniques for Digital Systems. Lec 16 Arithmetic II (Multiplication) Computer Number Systems.

Overview. EECS Components and Design Techniques for Digital Systems. Lec 16 Arithmetic II (Multiplication) Computer Number Systems. Overview EE 15 - omponents and Design Techniques for Digital ystems Lec 16 Arithmetic II (Multiplication) Review of Addition Overflow Multiplication Further adder optimizations for multiplication LA in

More information

Computer Arithmetic Homework Solutions. 1 An adder for graphics. 2 Partitioned adder. 3 HDL implementation of a partitioned adder

Computer Arithmetic Homework Solutions. 1 An adder for graphics. 2 Partitioned adder. 3 HDL implementation of a partitioned adder Computer Arithmetic Homework 3 2016 2017 Solution 1 An adder for graphic In a normal ripple carry addition of two poitive number, the carry i the ignal for a reult exceeding the maximum. We ue thi ignal

More information

Inverse Kinematics 1 1/29/2018

Inverse Kinematics 1 1/29/2018 Invere Kinemati 1 Invere Kinemati 2 given the poe of the end effetor, find the joint variable that produe the end effetor poe for a -joint robot, given find 1 o R T 3 2 1,,,,, q q q q q q RPP + Spherial

More information

Course Project: Adders, Subtractors, and Multipliers a

Course Project: Adders, Subtractors, and Multipliers a In the name Allah Department of Computer Engineering 215 Spring emeter Computer Architecture Coure Intructor: Dr. Mahdi Abbai Coure Project: Adder, Subtractor, and Multiplier a a The purpoe of thi p roject

More information

Learning Outcomes. Spiral 2-2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2-2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2-2 Arithmetic Components and Their Efficient Implementations I understand the control inputs to counters I can design logic to control the inputs of counters to create

More information

Pipelined Multipliers for Reconfigurable Hardware

Pipelined Multipliers for Reconfigurable Hardware Pipelined Multipliers for Reonfigurable Hardware Mithell J. Myjak and José G. Delgado-Frias Shool of Eletrial Engineering and Computer Siene, Washington State University Pullman, WA 99164-2752 USA {mmyjak,

More information

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Arithmetic (a) The four possible cases Carry (b) Truth table x y

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Arithmetic (a) The four possible cases Carry (b) Truth table x y Arithmetic A basic operation in all digital computers is the addition and subtraction of two numbers They are implemented, along with the basic logic functions such as AND,OR, NOT,EX- OR in the ALU subsystem

More information

Design of High Speed Mac Unit

Design of High Speed Mac Unit Design of High Speed Ma Unit 1 Harish Babu N, 2 Rajeev Pankaj N 1 PG Student, 2 Assistant professor Shools of Eletronis Engineering, VIT University, Vellore -632014, TamilNadu, India. 1 harishharsha72@gmail.om,

More information

Laboratory Exercise 2

Laboratory Exercise 2 Laoratory Exercie Numer and Diplay Thi i an exercie in deigning cominational circuit that can perform inary-to-decimal numer converion and inary-coded-decimal (BCD) addition. Part I We wih to diplay on

More information

Operations On Data CHAPTER 4. (Solutions to Odd-Numbered Problems) Review Questions

Operations On Data CHAPTER 4. (Solutions to Odd-Numbered Problems) Review Questions CHAPTER 4 Operations On Data (Solutions to Odd-Numbered Problems) Review Questions 1. Arithmetic operations interpret bit patterns as numbers. Logical operations interpret each bit as a logical values

More information

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2 2 Arithmetic Components and Their Efficient Implementations I know how to combine overflow and subtraction results to determine comparison results of both signed and

More information

Data Representation Type of Data Representation Integers Bits Unsigned 2 s Comp Excess 7 Excess 8

Data Representation Type of Data Representation Integers Bits Unsigned 2 s Comp Excess 7 Excess 8 Data Representation At its most basic level, all digital information must reduce to 0s and 1s, which can be discussed as binary, octal, or hex data. There s no practical limit on how it can be interpreted

More information

Floating Point CORDIC Based Power Operation

Floating Point CORDIC Based Power Operation Floating Point CORDIC Baed Power Operation Kazumi Malhan, Padmaja AVL Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland Univerity, Rocheter, MI e-mail: kmalhan@oakland.edu,

More information

Arithmetic Logic Unit

Arithmetic Logic Unit Arithmetic Logic Unit A.R. Hurson Department of Computer Science Missouri University of Science & Technology A.R. Hurson 1 Arithmetic Logic Unit It is a functional bo designed to perform "basic" arithmetic,

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 4-C Floating-Point Arithmetic - III Israel Koren ECE666/Koren Part.4c.1 Floating-Point Adders

More information

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies International Journal of Innovative Trend and Emerging Tehnologie ROBUST SCAN TECHNIQUE FOR SECURED AES AGAINST DIFFERENTIAL CRYPTANALYSIS BASED SIDE CHANNEL ATTACK A.TAMILARASAN 1, MR.A.ANBARASAN 2 1

More information

CS Spring Combinational Examples - 1

CS Spring Combinational Examples - 1 S 5 - Spring 2 - ombinational Examples - ombinational Logic esign ase Studies General esign Procedure for ombinational Logic General design procedure Examples alendar subsstem to 7-segment displa controller

More information

Binary Arithmetic. Daniel Sanchez Computer Science & Artificial Intelligence Lab M.I.T.

Binary Arithmetic. Daniel Sanchez Computer Science & Artificial Intelligence Lab M.I.T. Binary Arithmetic Daniel Sanchez Computer Science & Artificial Intelligence Lab M.I.T. MIT 6.004 Fall 2018 Reminder: Encoding Positive Integers Bit i in a binary representation (in right-to-left order)

More information

Calculations for multiple mixers are based on a formalism that uses sideband information and LO frequencies: ( ) sb

Calculations for multiple mixers are based on a formalism that uses sideband information and LO frequencies: ( ) sb Setting frequeny parameter in the WASP databae A. Harri 24 Aug 2003 Calulation for multiple mixer are baed on a formalim that ue ideband information and LO frequenie: b b := ign f ig f LO f IF := f ig

More information

Lecture 14: Minimum Spanning Tree I

Lecture 14: Minimum Spanning Tree I COMPSCI 0: Deign and Analyi of Algorithm October 4, 07 Lecture 4: Minimum Spanning Tree I Lecturer: Rong Ge Scribe: Fred Zhang Overview Thi lecture we finih our dicuion of the hortet path problem and introduce

More information

IMPLEMENTATION OF AREA, VOLUME AND LINE SOURCES

IMPLEMENTATION OF AREA, VOLUME AND LINE SOURCES December 01 ADMS 5 P503I1 IMPEMENTATION OF AREA, VOUME AND INE SOURCES The Met. Office (D J Thomon) and CERC 1. INTRODUCTION ADMS model line ource, and area and volume ource with conve polgon bae area.

More information

MIPS Integer ALU Requirements

MIPS Integer ALU Requirements MIPS Integer ALU Requirements Add, AddU, Sub, SubU, AddI, AddIU: 2 s complement adder/sub with overflow detection. And, Or, Andi, Ori, Xor, Xori, Nor: Logical AND, logical OR, XOR, nor. SLTI, SLTIU (set

More information

Complex Rational Expressions

Complex Rational Expressions Comple ational Epressions What is a Comple ational Epression? A omple rational epression is similar to a omple fration whih has one or more frations in its numerator, denominator, or both The following

More information

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2 2 Arithmetic Components and Their Efficient Implementations I know how to combine overflow and subtraction results to determine comparison results of both signed and

More information

CPE300: Digital System Architecture and Design

CPE300: Digital System Architecture and Design CPE300: Digital System Architecture and Design Fall 2011 MW 17:30-18:45 CBC C316 Arithmetic Unit 10122011 http://www.egr.unlv.edu/~b1morris/cpe300/ 2 Outline Recap Fixed Point Arithmetic Addition/Subtraction

More information

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing EE878 Special Topics in VLSI Computer Arithmetic for Digital Signal Processing Part 4-B Floating-Point Arithmetic - II Spring 2017 Koren Part.4b.1 The IEEE Floating-Point Standard Four formats for floating-point

More information

A note on degenerate and spectrally degenerate graphs

A note on degenerate and spectrally degenerate graphs A note on degenerate and pectrally degenerate graph Noga Alon Abtract A graph G i called pectrally d-degenerate if the larget eigenvalue of each ubgraph of it with maximum degree D i at mot dd. We prove

More information

ECE232: Hardware Organization and Design

ECE232: Hardware Organization and Design ECE232: Hardware Organization and Design Lecture 11: Floating Point & Floating Point Addition Adapted from Computer Organization and Design, Patterson & Hennessy, UCB Last time: Single Precision Format

More information

Karen L. Collins. Wesleyan University. Middletown, CT and. Mark Hovey MIT. Cambridge, MA Abstract

Karen L. Collins. Wesleyan University. Middletown, CT and. Mark Hovey MIT. Cambridge, MA Abstract Mot Graph are Edge-Cordial Karen L. Collin Dept. of Mathematic Weleyan Univerity Middletown, CT 6457 and Mark Hovey Dept. of Mathematic MIT Cambridge, MA 239 Abtract We extend the definition of edge-cordial

More information

Part III The Arithmetic/Logic Unit. Oct Computer Architecture, The Arithmetic/Logic Unit Slide 1

Part III The Arithmetic/Logic Unit. Oct Computer Architecture, The Arithmetic/Logic Unit Slide 1 Part III The Arithmetic/Logic Unit Oct. 214 Computer Architecture, The Arithmetic/Logic Unit Slide 1 About This Presentation This presentation is intended to support the use of the textbook Computer Architecture:

More information

C NUMERIC FORMATS. Overview. IEEE Single-Precision Floating-point Data Format. Figure C-0. Table C-0. Listing C-0.

C NUMERIC FORMATS. Overview. IEEE Single-Precision Floating-point Data Format. Figure C-0. Table C-0. Listing C-0. C NUMERIC FORMATS Figure C-. Table C-. Listing C-. Overview The DSP supports the 32-bit single-precision floating-point data format defined in the IEEE Standard 754/854. In addition, the DSP supports an

More information

Multi-Target Tracking In Clutter

Multi-Target Tracking In Clutter Multi-Target Tracking In Clutter John N. Sander-Reed, Mary Jo Duncan, W.B. Boucher, W. Michael Dimmler, Shawn O Keefe ABSTRACT A high frame rate (0 Hz), multi-target, video tracker ha been developed and

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Department of Eletrial and Computer Engineering University of Wisonsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2014-2015 Assignment #2 Date Tuesday, September 25, 2014 Due

More information

Floating Point. The World is Not Just Integers. Programming languages support numbers with fraction

Floating Point. The World is Not Just Integers. Programming languages support numbers with fraction 1 Floating Point The World is Not Just Integers Programming languages support numbers with fraction Called floating-point numbers Examples: 3.14159265 (π) 2.71828 (e) 0.000000001 or 1.0 10 9 (seconds in

More information

Spring 2012 EE457 Instructor: Gandhi Puvvada

Spring 2012 EE457 Instructor: Gandhi Puvvada Spring 2012 EE457 Intructor: Gandhi Puvvada Quiz (~ 10%) Date: 2/17/2012, Friday in SLH200 Calculator and Cadence Verilog Guide are allowed; Time: 10:00AM-12:45PM Cloed-book/Cloed-note Exam Total point:

More information

This Unit: Arithmetic. CIS 371 Computer Organization and Design. Readings. Pre Class Exercise

This Unit: Arithmetic. CIS 371 Computer Organization and Design. Readings. Pre Class Exercise This Unit: Arithmetic CI 371 Computer Organization and Design Unit 4: Arithmetic Based on slides by Prof. Amir Roth & Prof. Milo Martin App App App ystem software Mem CPU I/O A little review Binary + 2s

More information

FLOATING POINT NUMBERS

FLOATING POINT NUMBERS Exponential Notation FLOATING POINT NUMBERS Englander Ch. 5 The following are equivalent representations of 1,234 123,400.0 x 10-2 12,340.0 x 10-1 1,234.0 x 10 0 123.4 x 10 1 12.34 x 10 2 1.234 x 10 3

More information

Shortest Paths in Directed Graphs

Shortest Paths in Directed Graphs Shortet Path in Direted Graph Jonathan Turner January, 0 Thi note i adapted from Data Struture and Network Algorithm y Tarjan. Let G = (V, E) e a direted graph and let length e a real-valued funtion on

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 4-A Floating-Point Arithmetic Israel Koren ECE666/Koren Part.4a.1 Preliminaries - Representation

More information

1 The secretary problem

1 The secretary problem Thi i new material: if you ee error, pleae email jtyu at tanford dot edu 1 The ecretary problem We will tart by analyzing the expected runtime of an algorithm, a you will be expected to do on your homework.

More information

A New Approach to Pipeline FFT Processor

A New Approach to Pipeline FFT Processor A ew Approach to Pipeline FFT Proceor Shouheng He and Mat Torkelon Department of Applied Electronic, Lund Univerity S- Lund, SWEDE email: he@tde.lth.e; torkel@tde.lth.e Abtract A new VLSI architecture

More information

Trainable Context Model for Multiscale Segmentation

Trainable Context Model for Multiscale Segmentation Trainable Context Model for Multicale Segmentation Hui Cheng and Charle A. Bouman School of Electrical and Computer Engineering Purdue Univerity Wet Lafayette, IN 47907-1285 {hui, bouman}@ ecn.purdue.edu

More information

MAT 155: Describing, Exploring, and Comparing Data Page 1 of NotesCh2-3.doc

MAT 155: Describing, Exploring, and Comparing Data Page 1 of NotesCh2-3.doc MAT 155: Decribing, Exploring, and Comparing Data Page 1 of 8 001-oteCh-3.doc ote for Chapter Summarizing and Graphing Data Chapter 3 Decribing, Exploring, and Comparing Data Frequency Ditribution, Graphic

More information

Macrohomogenous Li-Ion-Battery Modeling - Strengths and Limitations

Macrohomogenous Li-Ion-Battery Modeling - Strengths and Limitations Marohomogenou Li-Ion-Battery Modeling - Strength and Limitation Marku Lindner Chritian Wieer Adam Opel AG Sope Purpoe of the reearh: undertand and quantify impat of implifiation in marohomogeneou model

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 4-B Floating-Point Arithmetic - II Israel Koren ECE666/Koren Part.4b.1 The IEEE Floating-Point

More information

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 3. Arithmetic for Computers Implementation

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 3. Arithmetic for Computers Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 3 Arithmetic for Computers Implementation Today Review representations (252/352 recap) Floating point Addition: Ripple

More information

At the ith stage: Input: ci is the carry-in Output: si is the sum ci+1 carry-out to (i+1)st state

At the ith stage: Input: ci is the carry-in Output: si is the sum ci+1 carry-out to (i+1)st state Chapter 4 xi yi Carry in ci Sum s i Carry out c i+ At the ith stage: Input: ci is the carry-in Output: si is the sum ci+ carry-out to (i+)st state si = xi yi ci + xi yi ci + xi yi ci + xi yi ci = x i yi

More information

Floating Point Arithmetic

Floating Point Arithmetic Floating Point Arithmetic Clark N. Taylor Department of Electrical and Computer Engineering Brigham Young University clark.taylor@byu.edu 1 Introduction Numerical operations are something at which digital

More information

Note 2: Transformation (modeling and viewing)

Note 2: Transformation (modeling and viewing) Note : Tranformation (modeling and viewing Reading: tetbook chapter 4 (geometric tranformation and chapter 5 (viewing.. Introduction (model tranformation modeling coordinate modeling tranformation world

More information

Factor Graphs and Inference

Factor Graphs and Inference Factor Graph and Inerence Sargur Srihari rihari@cedar.bualo.edu 1 Topic 1. Factor Graph 1. Factor in probability ditribution. Deriving them rom graphical model. Eact Inerence Algorithm or Tree graph 1.

More information

Background/Review on Numbers and Computers (lecture)

Background/Review on Numbers and Computers (lecture) Bakground/Review on Numbers and Computers (leture) ICS312 Mahine-Level and Systems Programming Henri Casanova (henri@hawaii.edu) Numbers and Computers Throughout this ourse we will use binary and hexadeimal

More information

Key Terms - MinMin, MaxMin, Sufferage, Task Scheduling, Standard Deviation, Load Balancing.

Key Terms - MinMin, MaxMin, Sufferage, Task Scheduling, Standard Deviation, Load Balancing. Volume 3, Iue 11, November 2013 ISSN: 2277 128X International Journal of Advanced Reearch in Computer Science and Software Engineering Reearch Paper Available online at: www.ijarce.com Tak Aignment in

More information

By, Ajinkya Karande Adarsh Yoga

By, Ajinkya Karande Adarsh Yoga By, Ajinkya Karande Adarsh Yoga Introduction Early computer designers believed saving computer time and memory were more important than programmer time. Bug in the divide algorithm used in Intel chips.

More information

Lecture 8: More Pipelining

Lecture 8: More Pipelining Overview Lecture 8: More Pipelining David Black-Schaffer davidbb@tanford.edu EE8 Spring 00 Getting Started with Lab Jut get a ingle pixel calculating at one time Then look into filling your pipeline Multiplier

More information

ES205 Analysis and Design of Engineering Systems: Lab 1: An Introductory Tutorial: Getting Started with SIMULINK

ES205 Analysis and Design of Engineering Systems: Lab 1: An Introductory Tutorial: Getting Started with SIMULINK ES05 Analyi and Deign of Engineering Sytem: Lab : An Introductory Tutorial: Getting Started with SIMULINK What i SIMULINK? SIMULINK i a oftware package for modeling, imulating, and analyzing dynamic ytem.

More information

A SIMPLE IMPERATIVE LANGUAGE THE STORE FUNCTION NON-TERMINATING COMMANDS

A SIMPLE IMPERATIVE LANGUAGE THE STORE FUNCTION NON-TERMINATING COMMANDS A SIMPLE IMPERATIVE LANGUAGE Eventually we will preent the emantic of a full-blown language, with declaration, type and looping. However, there are many complication, o we will build up lowly. Our firt

More information

9/6/2011. Multiplication. Binary Multipliers The key trick of multiplication is memorizing a digit-to-digit table Everything else was just adding

9/6/2011. Multiplication. Binary Multipliers The key trick of multiplication is memorizing a digit-to-digit table Everything else was just adding 9/6/2 Multiplication Binary Multipliers The key trick of multiplication is memorizing a digit-to-digit table Everything else was just adding 2 3 4 5 6 7 8 9 2 3 4 5 6 7 8 9 2 2 4 6 8 2 4 6 8 3 3 6 9 2

More information

See chapter 8 in the textbook. Dr Muhammad Al Salamah, Industrial Engineering, KFUPM

See chapter 8 in the textbook. Dr Muhammad Al Salamah, Industrial Engineering, KFUPM Goal programming Objective of the topic: Indentify indutrial baed ituation where two or more objective function are required. Write a multi objective function model dla a goal LP Ue weighting um and preemptive

More information

Q1:Choose the correct answer:

Q1:Choose the correct answer: Q:Chooe the orret anwer:. Purpoe of an OS i a. Create abtration b. Multiple proee ompete for ue of proeor. Coordination. Sheduler deide a. whih proee get to ue the proeor b. when proee get to ue the proeor.

More information

MULTIPLICATION TECHNIQUES

MULTIPLICATION TECHNIQUES Learning Objectives EE 357 Unit 2a Multiplication Techniques Perform by hand the different methods for unsigned and signed multiplication Understand the various digital implementations of a multiplier

More information

Routing Definition 4.1

Routing Definition 4.1 4 Routing So far, we have only looked at network without dealing with the iue of how to end information in them from one node to another The problem of ending information in a network i known a routing

More information

Synthesis of Sequential Logic from Behavioral Code

Synthesis of Sequential Logic from Behavioral Code It s all about the flip-flop. Synthesis of Sequential Logi from Behavioral Code Storage devies are the distinguishing feature...... that differentiate ombinational and sequential logi. Why sequential logi

More information

EE260: Logic Design, Spring n Integer multiplication. n Booth s algorithm. n Integer division. n Restoring, non-restoring

EE260: Logic Design, Spring n Integer multiplication. n Booth s algorithm. n Integer division. n Restoring, non-restoring EE 260: Introduction to Digital Design Arithmetic II Yao Zheng Department of Electrical Engineering University of Hawaiʻi at Mānoa Overview n Integer multiplication n Booth s algorithm n Integer division

More information

Incorporating Speculative Execution into Scheduling of Control-flow Intensive Behavioral Descriptions

Incorporating Speculative Execution into Scheduling of Control-flow Intensive Behavioral Descriptions Inorporating Speulative Exeution into Sheduling of Control-flow Intenive Behavioral Deription Ganeh Lakhminarayana, Anand Raghunathan, and Niraj K. Jha Dept. of Eletrial Engineering C&C Reearh Laboratorie

More information

Floating-point representations

Floating-point representations Lecture 10 Floating-point representations Methods of representing real numbers (1) 1. Fixed-point number system limited range and/or limited precision results must be scaled 100101010 1111010 100101010.1111010

More information

Floating-point representations

Floating-point representations Lecture 10 Floating-point representations Methods of representing real numbers (1) 1. Fixed-point number system limited range and/or limited precision results must be scaled 100101010 1111010 100101010.1111010

More information

AN ALGORITHM FOR RESTRICTED NORMAL FORM TO SOLVE DUAL TYPE NON-CANONICAL LINEAR FRACTIONAL PROGRAMMING PROBLEM

AN ALGORITHM FOR RESTRICTED NORMAL FORM TO SOLVE DUAL TYPE NON-CANONICAL LINEAR FRACTIONAL PROGRAMMING PROBLEM RAC Univerity Journal, Vol IV, No, 7, pp 87-9 AN ALGORITHM FOR RESTRICTED NORMAL FORM TO SOLVE DUAL TYPE NON-CANONICAL LINEAR FRACTIONAL PROGRAMMING PROLEM Mozzem Hoain Department of Mathematic Ghior Govt

More information

HOMEWORK #3 BME 473 ~ Applied Biomechanics Due during Week #10

HOMEWORK #3 BME 473 ~ Applied Biomechanics Due during Week #10 HOMEWORK #3 BME 473 ~ Applied Biomechanic Due during Week #1 1. We dicued different angle et convention in cla. One common convention i a Bod-fied X-Y-Z rotation equence. With thi convention, the B frame

More information

Double Precision Floating-Point Arithmetic on FPGAs

Double Precision Floating-Point Arithmetic on FPGAs MITSUBISHI ELECTRIC ITE VI-Lab Title: Double Precision Floating-Point Arithmetic on FPGAs Internal Reference: Publication Date: VIL04-D098 Author: S. Paschalakis, P. Lee Rev. A Dec. 2003 Reference: Paschalakis,

More information

Multiple Assignments

Multiple Assignments Two Outputs Conneted Together Multiple Assignments Two Outputs Conneted Together if (En1) Q

More information

Number Systems and Computer Arithmetic

Number Systems and Computer Arithmetic Number Systems and Computer Arithmetic Counting to four billion two fingers at a time What do all those bits mean now? bits (011011011100010...01) instruction R-format I-format... integer data number text

More information

A Boyer-Moore Approach for. Two-Dimensional Matching. Jorma Tarhio. University of California. Berkeley, CA Abstract

A Boyer-Moore Approach for. Two-Dimensional Matching. Jorma Tarhio. University of California. Berkeley, CA Abstract A Boyer-Moore Approach for Two-Dimenional Matching Jorma Tarhio Computer Science Diviion Univerity of California Berkeley, CA 94720 Abtract An imple ublinear algorithm i preented for two-dimenional tring

More information

Floating Point (with contributions from Dr. Bin Ren, William & Mary Computer Science)

Floating Point (with contributions from Dr. Bin Ren, William & Mary Computer Science) Floating Point (with contributions from Dr. Bin Ren, William & Mary Computer Science) Floating Point Background: Fractional binary numbers IEEE floating point standard: Definition Example and properties

More information

T insn-mem T regfile T ALU T data-mem T regfile

T insn-mem T regfile T ALU T data-mem T regfile This Unit: rithmetic CI 371 Computer Organization and Design Unit 3: rithmetic pp pp pp ystem software Mem CPU I/O! little review! Binary + 2s complement! Ripple-carry addition (RC)! Fast integer addition!

More information

HIGH PERFORMANCE FUSED ADD MULTIPLY OPERATOR

HIGH PERFORMANCE FUSED ADD MULTIPLY OPERATOR HIGH PERFORMANCE FUSED ADD MULTIPLY OPERATOR R. Alwin [1] S. Anbu Vallal [2] I. Angel [3] B. Benhar Silvan [4] V. Jai Ganesh [5] 1 Assistant Professor, 2,3,4,5 Student Members Department of Electronics

More information

KINEMATIC ANALYSIS OF VARIOUS ROBOT CONFIGURATIONS

KINEMATIC ANALYSIS OF VARIOUS ROBOT CONFIGURATIONS International Reearh Journal of Engineering and Tehnology (IRJET) e-in: 39-6 Volume: 4 Iue: May -7 www.irjet.net p-in: 39-7 KINEMATI ANALYI OF VARIOU ROBOT ONFIGURATION Game R. U., Davkhare A. A., Pakhale..

More information

Part V Real Arithmetic

Part V Real Arithmetic Part V Real Arithmetic Parts Chapters I. Number Representation 1. 2. 3. 4. Numbers and Arithmetic Representing Signed Numbers Redundant Number Systems Residue Number Systems Elementary Operations II. III.

More information

KS3 Maths Assessment Objectives

KS3 Maths Assessment Objectives KS3 Math Aement Objective Tranition Stage 9 Ratio & Proportion Probabilit y & Statitic Appreciate the infinite nature of the et of integer, real and rational number Can interpret fraction and percentage

More information

Number Representation

Number Representation ECE 645: Lecture 5 Number Representation Part 2 Floating Point Representations Rounding Representation of the Galois Field elements Required Reading Behrooz Parhami, Computer Arithmetic: Algorithms and

More information

Synthesis of Sequential Logic from Behavioral Code

Synthesis of Sequential Logic from Behavioral Code It s all about the flip-flop. Synthesis of Sequential Logi from Behavioral Code Storage devies are the distinguishing feature...... that differentiate ombinational and sequential logi. Why sequential logi

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

Computer Architecture and Organization

Computer Architecture and Organization 3-1 Chapter 3 - Arithmetic Computer Architecture and Organization Miles Murdocca and Vincent Heuring Chapter 3 Arithmetic 3-2 Chapter 3 - Arithmetic Chapter Contents 3.1 Fixed Point Addition and Subtraction

More information

[N309] Feedforward Active Noise Control Systems with Online Secondary Path Modeling. Muhammad Tahir Akhtar, Masahide Abe, and Masayuki Kawamata

[N309] Feedforward Active Noise Control Systems with Online Secondary Path Modeling. Muhammad Tahir Akhtar, Masahide Abe, and Masayuki Kawamata he 32nd International Congre and Expoition on Noie Control Engineering Jeju International Convention Center, Seogwipo, Korea, Augut 25-28, 2003 [N309] Feedforward Active Noie Control Sytem with Online

More information

Implementation of Double Precision Floating Point Multiplier in VHDL

Implementation of Double Precision Floating Point Multiplier in VHDL ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Implementation of Double Precision Floating Point Multiplier in VHDL 1 SUNKARA YAMUNA

More information

Floating-Point Data Representation and Manipulation 198:231 Introduction to Computer Organization Lecture 3

Floating-Point Data Representation and Manipulation 198:231 Introduction to Computer Organization Lecture 3 Floating-Point Data Representation and Manipulation 198:231 Introduction to Computer Organization Instructor: Nicole Hynes nicole.hynes@rutgers.edu 1 Fixed Point Numbers Fixed point number: integer part

More information

Floating Point Numbers

Floating Point Numbers Floating Point Numbers Summer 8 Fractional numbers Fractional numbers fixed point Floating point numbers the IEEE 7 floating point standard Floating point operations Rounding modes CMPE Summer 8 Slides

More information

Laboratory Exercise 2

Laboratory Exercise 2 Laoratory Exercie Numer and Diplay Thi i an exercie in deigning cominational circuit that can perform inary-to-decimal numer converion and inary-coded-decimal (BCD) addition. Part I We wih to diplay on

More information

CO212 Lecture 10: Arithmetic & Logical Unit

CO212 Lecture 10: Arithmetic & Logical Unit CO212 Lecture 10: Arithmetic & Logical Unit Shobhanjana Kalita, Dept. of CSE, Tezpur University Slides courtesy: Computer Architecture and Organization, 9 th Ed, W. Stallings Integer Representation For

More information

Advanced Encryption Standard and Modes of Operation

Advanced Encryption Standard and Modes of Operation Advanced Encryption Standard and Mode of Operation G. Bertoni L. Breveglieri Foundation of Cryptography - AES pp. 1 / 50 AES Advanced Encryption Standard (AES) i a ymmetric cryptographic algorithm AES

More information

ECE 341. Lecture # 6

ECE 341. Lecture # 6 ECE 34 Lecture # 6 Instructor: Zeshan Chishti zeshan@pdx.edu October 5, 24 Portland State University Lecture Topics Design of Fast Adders Carry Looakahead Adders (CLA) Blocked Carry-Lookahead Adders Multiplication

More information

Announcements. CSE332: Data Abstractions Lecture 19: Parallel Prefix and Sorting. The prefix-sum problem. Outline. Parallel prefix-sum

Announcements. CSE332: Data Abstractions Lecture 19: Parallel Prefix and Sorting. The prefix-sum problem. Outline. Parallel prefix-sum Announcement Homework 6 due Friday Feb 25 th at the BEGINNING o lecture CSE332: Data Abtraction Lecture 19: Parallel Preix and Sorting Project 3 the lat programming project! Verion 1 & 2 - Tue March 1,

More information

The Sign consists of a single bit. If this bit is '1', then the number is negative. If this bit is '0', then the number is positive.

The Sign consists of a single bit. If this bit is '1', then the number is negative. If this bit is '0', then the number is positive. IEEE 754 Standard - Overview Frozen Content Modified by on 13-Sep-2017 Before discussing the actual WB_FPU - Wishbone Floating Point Unit peripheral in detail, it is worth spending some time to look at

More information