AccuCell Technical Training. AccuCell Commands & Concepts

Size: px
Start display at page:

Download "AccuCell Technical Training. AccuCell Commands & Concepts"

Transcription

1 AccuCell Technical Training AccuCell Commands & Concepts

2 Agenda Introduction to AccuCell and AccuCore Cell Characterization Introduction to AccuCell Getting Started with Accucell Methods of Characterization Sequential Cells Power Characterization - 2 -

3 Silvaco Characterization Flow AccuTools Cell Block Core Cell Block/Core Functional Extraction Vector Generation Dynamic Simulation Model Generation Timing Power Function Timing Block/Core Partitioning Characterization Static Timing Model Generation Timing Power Function Model Generation - 3 -

4 Scalable Characterization Solution Silvacos characterization technology scales-up from standard cells to hard IP cores Full-Chip Environment Timing Models.lib (all paths).lib (compressed).lib (black box) Functional Models Verilog (gate level) Power Models.lib (standard cell) - 4 -

5 Agenda Introduction to AccuCell and AccuCore Cell Characterization Introduction to AccuCell Getting Started with AccuCell Methods of Characterization Sequential Cells Power Characterization - 5 -

6 Cell Characterization Challenges Extensive set-up Function determination, Vector generation Optimum load and slope tables Custom Cells I/O Pads, Dynamic, DCVS, Adders, Comparators Long Run Time Setup/Hold Long Vector Set Accuracy Vector dependent, Measurement techniques Results Validation Tool Maintenance New formats and standards, design templates Scripts re-vamping Conventional Tools Script Based Flow Manual Function Identification Manual description of transitions Convert transition to Vectors Run Simulation Extract Results Build model - 6 -

7 Agenda Introduction to AccuCell and AccuCore Cell Characterization Introduction to AccuCell Getting Started with Accucell Methods of Characterization Sequential Cells Power Characterization - 7 -

8 The AccuCell Advantage Conventional Approach Manual Function Identification Manual description of transitions Silvaco s approach AccuCell Convert transition to Vectors Run Simulation Extract Results Build model Library Validation - 8 -

9 Vectors Should Drive Characterization Automatic function extraction Automatic Smart vector generation It is important to only generate the necessary vectors, complete with no redundancies, for the specific cell characterization needs: Delays Output Slews Input Capacitance Max Capacitance Setup and Hold Power Automatic table selection Supports complex cell Domino and Dynamic Logic Differential Logic Cells with many inputs - 9 -

10 Where to Use AccuCell New library characterization Re-characterize commercial libraries Create custom PVT for design Migrate to a new process or foundry Characterize custom cell libraries Characterize complex cells Domino/Dynamic Cells Cells with a large number of inputs and/or outputs QA of existing libraries AccuCell is well-suited for High Performance, Low Power, and Timing Critical Cell Based design flows!

11 AccuCell Flow Config File Liberty.lib SPICE Netlist AccuCell Function Extraction Vector Generation SPICE Simulations Model Generations Verilog Datasheet SPICE Engines SmartSpice HSPICE Spectre Eldo Automatic function extraction: Advanced CMOS circuit handling: Domino, DVCS, Large & complex cells Automatic vector generation: Employs Smart vector algorithm for complete vector set with no redundancies Simultaneous switching State/path dependent delays Input Capacitance Max_capacitance for output Tri-state conditions Setup/hold, recovery/removal, and MPW Power Automatic Table Selection (ATS): Auto Selected/Optimized input slope and output capacitance table indices to reduce error Automatic model generation No intermediate steps and template needed Outputs Liberty.lib (timing, power, leakage, noise) Statistical verilog Datasheets

12 AccuCell SPICE Simulation Engines Internal SmartSpice with high-speed API runs up to 20x faster while maintaining high-accuracy External HSPICE Spectre Eldo

13 Agenda Introduction to AccuCell and AccuCore Cell Characterization Introduction to AccuCell Getting Started with AccuCell Methods of Characterization Sequential Cells Power Characterization

14 LAB 1 Objectives Purpose: To become familiar with setting up cfg files and running AccuCell. Setup a AccuCell run Run AccuCell to characterize a single cell Run AccuCell to characterize a cell library Familiarize yourself with the output formats of AccuCell

15 AccuCell Setup Configuration Files Library.cfg../library Global Library Cell Characterization Settings (unless cell.cfg overrides) nand.cfg nor.cfg dff.cfg aoi.cfg../library/nand../library/nor../library/dff../library/aoi Individual Cell Characterization Settings (can override library.cfg global settings)

16 AccuCell Setup Library Config File LIBRARY_NAME MODEL_TYPE Lib.cfg lib1 synthesis # SPICE COMMANDS SPICE_TYPE SMARTSPICE_OPTIONS MOSFET_TYPE MOSFET_TYPE smartspice {scale=1.0e-6} p pmos n nmos # Specify Process File (mosfet model)information INC_CMD /home/models/param_file LIB_CMD /home/models/bsim3v3.1 typ TEMP 25 SUPPLY_V_HIGH 1.8 TRAN_ANALYSIS_STEP

17 ACCUCELL Setup Individual Cell Config Files nand3.cfg #nand3.cfg IN_FILE_NAME CELL_NAME TOP_SPICE_SUBCKT INPUTS OUTPUTS POWERS GROUNDS nand3.spi nand3 nand3 a b c y vdd gnd

18 AccuCell Setup TCL Command File gen_lib lib.list library.cfg library.tcl INV NAND2 NOR2 AOI222 DFF LAT1.. lib.list

19 Running AccuCell gen_lib lib.list library.cfg unix% accucell library.tcl & tee log

20 AccuCell Auto Config File Setup (lib2cfg) Silvaco also provides the lib2cfg routine which automatically creates the configuration files from an existing Liberty.lib file Library_sps.lib lib2cfg Library.cfg nand.cfg nor.cfg dff.cfg. aoi.cfg

21 Agenda Introduction to Silvaco Cell Characterization Introduction to AccuCell Getting Started with Accucell Methods of Characterization Sequential Cells Power Characterization

22 LAB 2 - Objectives Purpose: To become familiar with AccuCell s flexible methods of cell characterization. Run AccuCell with slope and capacitance tables Run AccuCell with ATS Calculate input pin capacitance for library cells

23 Input Slopes and Output Caps: User Defined Tables lib.cfg #------COMMANDS FOR INPUT SLOPES and #------OUTPUT LOADS SLOPE_LOWER_THR 0.3 SLOPE_UPPER_THR 0.7 SLOPE_TABLE { } CAP_TABLE { }

24 Input Slopes and Output Caps: Automatic Table Selection Automated means of determining the minimum number of points needed for accurate characterization Eliminates the need for costly Over-Sampling Significantly reduces runtime

25 Input Slopes and Output Caps: Automatic Table Selection (ATS) ATS is an automated means of determining the minimum number of points needed for accurate characterization Eliminates the need for Over-Sampling Significantly reduces runtime

26 Input Slopes and Output Caps: Automatic Table Selection lib.cfg #---- COMMANDS for ATS (Automatic Table Select) AUTO_TABLE_SELECT {<cap_min> <cap_max>} {<slew_min> <slew_max> ATS_MAX_DELAY_ERROR 0.05 ATS_MAX_SLEW_ERROR

27 Input Pin Cap Integrate Method Technique includes finding the Cin got both the rising and failing output conditions then AVERAGING the two for the final input capacitance (CIN) for the input pin. CALC_C_EFF 1 C_EFF_RISE_SLOPE 0.05 C_EFF_FALL_SLOPE 0.05 CUR_MEAS_PERIOD

28 Max Capacitance Techniques include the following steps: CHAR_MAXCAP 1 MAXCAP_DEGRADATION 0 MAXCAP_SLEW 0.4 MAXCAP_START User determines the maximum allowable delay or output slope for the Cell (described in terms of degradation). 2. AccuCell then determines what C=? will produce the described output slope or delay degradation. 3. A bi-section algorithm is employed during the converging process to obtain the ultimate max cap value

29 Data Sheets Data Sheets generated by AccuCell enhances usability and readability of the characterization data

30 Agenda Introduction to Silvaco Cell Characterization Introduction to AccuCell Getting Started with Accucell Methods of Characterization Sequential Cells Power Characterization

31 LAB 3 Objectives To become familiar characterizing Sequential cells using AccuCell Setup an equation file (*.eqn) Setup AccuCell for Setup/Hold Characterization. Run AccuCell on a sequential cell

32 Setup/Hold Characterization Employs very fast BiSection Algorithm User selectable criteria methods: Pass/fail or Pass/fail with degradation Pass/Fail: User selectable Upper/Lower thresholds Degradation: User selectable Percent (%) Degradation

33 Setup/Hold Characterization: Degradation Method Employs very fast BiSection Algorithm Degradation: User selectable percent (%) Degradation

34 AccuCell Commands for SETUP/HOLD lib.cfg # COMMANDS for SETUP & HOLD SETHLD_2D 1 SH_DATA_SLOPE_TABLE { } SH_CLK_SLOPE_TABLE { } # for Delay Degradation (15% degradation) SETHOLD_DELAY

35 What does Single_Simulation do? SINGLE_SIMULATION 1 Reduce run time significantly if a table is needed for setup/hold AccuCell puts.data statement in the spice deck to do single simulation for setup/hold instead of multiple simulations For external simulators only

36 User Defined Equations and Explicit Tables dff.cfg dff.eqn IN_FILE_NAME CELL_NAME TOP_SPICE_SUBCKT #EQN_FILE_NAME #TBL_FILE_NAME dff.spi dff dff dff.eqn dff.tbl q.0:= q.1:= nq.0:=, nq.0:= ~d & nr & ns & main- ~nr & ns; d & nr & ns & main- nr & ns; d & nr & ns & main- nr & ns; ~d & nr & ns & main- ~nr & ns; CLOCKS INPUTS OUTPUTS main ck d nr ns nq q dff.tbl POWERS GROUNDS vdd gnd Please refer to the explict table in the tutorial or Users Guide for more details. Note: Equations and/or table files are rarely necessary and typically only used for complex cells. It is NOT necessarily required for flip-flop or latches. Use either.eqn or.tbl method but NOT both at the same time in the.cfg file

37 Recovery and Removal AccuCell Config Command: CHAR_RECREM <0 or 1> Where 1 requires AccuCell to run recovery and removal calculations. The default is 0 AccuCell Operation AccuCell generates a table for recovery and removal values. The table indices are the same as those for synchronous pin setup and hold value. That is, they are also defined by the following two configuration commands: SH_DATA_SLOPE_TABLE {..} SH_CLK_SLOPE_TABLE {..} Just like for setup and hold measurements, AccuCell also employs bi-section method to perform the recovery and removal measurements

38 Clock Minimum Pulse Width Employs very fast Bisection Algorithm Supports <Vdd (runt) pulses to find fail point User-selectable minimum level for runt pulse (Vdd/2 to Vdd) User selectable criteria methods: Pass/fail or Pass/fail with Degradation Pass/Fail: User selectable Upper/Lower thresholds Degradation: User selectable Percent (%) Degradation Uses SETHOLD_ parameters to control characterization

39 Gated Clock Checks Characterize setup/hold for clken->clk Currently supported in SVC only CLOCKS main clk OUTPUTS gclk CLOCK_ENABLE clken : CLK_ENABLE_ACTIVE_EDGE {clk r}

40 Load Dependency Between Output Pins Within a Cell, the delay of a given buffered output of a cell is dependent upon its own loading as well as the loading on any combinationally connected un-buffered output. UNBUF_OUT_LIST {{NQ Q negative_unate} { } { } } For the example above, the CLK->Q delay is dependent on the load presented on NQ. In AccuCell, the user can specify that Characterization be done from CLK->NQ and then NQ->Q using the command UNBUF_OUT_LIST Excerpt from Synopsys.lib pin (Q) { : timing { related_pin: NQ timing_sense: negative_unate : }

41 Characterizing with Active Drivers By default a voltage source with piecewise linear waveform is added directly to the input pins of the cell being characterized Optional method a user defined buffer is inserted, and the voltage source is applied to the input of the applied buffer #----COMMANDS for Active Drivers # user-defined buffer sub-circuit BUF_SUBCKT buf_ckt/home/cust/buffer.sp buffer_ckt {out in} # user-defined load sub-circuit LOAD_SUBCKT load_ckt/home/cust/load.sp load_ckt #multiplier for slope table SLEW_LOAD_TABLE { }

42 Tri-states Characterization Characterizes tri-state cells Function extracted automatically Measure Input to Output arcs Enable to Output arcs

43 Tri-state Measurement Cell is characterized as it is used in the design Driving another tri-state cell Do not have to measure transistors being on or off No resistors needed for setup of the Z state initial condition

44 Tri-state Measurement Connect two cells together with specified load Apply vectors Force one cell to go from a logic 1 on the output to a Z Force the other cell to go from a Z to a logic 0 Repeat for 0 to 1 transition

45 Agenda Introduction to AccuCell and AccuCore Cell Characterization Introduction to AccuCell Getting Started with Accucell Methods of Characterization Sequential Cells Power Characterization

46 LAB 4 - Objectives To become familiar with using AccuCell for Power Characterization

47 Need for Power Characterization Low power applications Stand by time is critical for all battery powered devices High Performance applications Higher operating frequencies Power bus sizing Cooling issues

48 Static Power Characterization Static (Leakage) Power: Measured by DC means with optional state dependence Constant power dissipated by the cell in steady state after the vector is applied and all transitions are stabilized Sub-threshold leakage from source to drain; Current flow through reverse biased diode (diffusion layer & substrate) Majority of power dissipation when circuit is inactive Less than 30% of total dissipation when circuit is active

49 Dynamic Power Characterization Dynamic Power: Power dissipated when an input transition is being made charging/discharging internal and external capacitive loads on the output; short circuit current from VDD to GND due to all transistors on or partially on during switching event Concurrently with timing characterization as avg Vdd current Switching Power Charge and discharge the loads Up to 80%-95% of total power dissipated Measurement Apply input vectors Measure the power dissipation through the capacitor Hidden Power Measure when inputs switch but outputs do not 0 1 Charge/Discharge

50 Power Characterization Model AccuCell uses the following circuit configuration for power characterization:

51 AccuCell Power Measurement Advantage Current based! Curve s nature: Peak, Monotonic, local MINs or MAXs, etc. Signal-processing-lie technique to filter unwanted numerical noises

52 AccuCell Commands for POWER Characterization lib.cfg # POWER CHARACTERIZATION COMMANDS CHAR_POWER 1 CHAR_POWER_METHOD average LEAKAGE_POWER_UNIT µw

53 State-based Function Extraction Primitive ff_12in_udp (12in.d.clk.svc.notifier): Output 12in: reg 12in: Input d.clk.svc.notifier table rl?:?:0; lr?:?:0; Fl?:?:1 0r?:?:1; *0?:?:-;?f?:?:-;??*:?:x; endtable endprimitive This algorithm finds logic loops and their state keeping nodes. It then infers clocks and generates an edge-sensitive UDP for each state element primitive ff_q_udp (q.clk. 12in.svc.notifier ): output q : reg q: Input clk.12in.svc.notifier: table rl?:?:0; lr?:?:0; Fl?:?:1 0r?:?:1; *0?:?:-;?f?:?:-;??*:?:x; endtable endprimitive

54 Verilog TestBench Module test: reg[10:0] dr; reg [10:0] clkr; Wire q; Integer index,count: ff ff(q,d,clk); Initial Begin dr=11 b : clkr=11 b ; for (count=11;count>0;count=count-1 begin index=count-1; d=dr[index]; clk = clkr [index]; end $finish; end Initial $monitor($time, %b%b%b,d,clk,q); endmodule Module ff (q, d, clk); Output q; Input d, clk ; reg svc_notifiers; specify (posedge clk=> (q:clk)) = (0:0:0:0:0:0): delays are tris.tfall $width (posedge clk, 0:0:0. 0:0:0, svc_notifier): $setup (d, posedge clk, 0:0:0, svc_notifier): $hold (posedge clk, d, 0:0:0, svc_notifier): endspecify Wire 12in; ff_q_udp ff_q_udp_inst (q, clk. 12in.svc_notifier ); Ff_12in_udp ff_12in_udp_inst (12in, d, clk.svc_notifier); endmodule A verilog testbench module is automatically generated to exercise the cell s verilog functionality

55 Verilog Timing Timing metrics are represented in a specify block An SDF annotation flow is supported, so all values in the specify block (min:ty:max) are set to zero. Unit delays for functional simulation are not instantiated Timing Checks $setup $hold $width $recover $removal (not supported by all simulators) VLOG_REMOVAL_TC_SUPPORTED controls suppression of this statement State dependent paths, polarity, and tristate enables are supported

56 Sample Verilog module nor (out, in1, in2); output out; input in1, in2; reg svc_notifier; specify if ((!in2)) (in1-=> out) = (0:0:0, 0:0:0); // delays are tris,tfall if none (in1-=>out) = (0:0::0, 0:0:0); // delays are tris,tfall if ((!in1)) (in2 -=> out) = (0:0:0, 0:0:0); // delays are tris,tfall ifnone (in2-=>out) = (0:0:0, 0:0:0); // delays are tris,tfall endspecify wire net_out_1; not ( net_out_1, in2 ) ; not ( out_sel_,in1 ) ; and ( out, net_out_1, out_sel_) ; endmodule module or (out, in1, in2); output out; input in1, in2; reg svc_notifier; specify if ((!in2)) (in1+=> out) = (0:0:0, 0:0:0); // delays are tris,tfall if none (in1+=>out) = (0:0::0, 0:0:0); // delays are tris,tfall if ((!in1)) (in2 +=> out) = (0:0:0, 0:0:0); // delays are tris,tfall ifnone (in2+=>out) = (0:0:0, 0:0:0); // delays are tris,tfall endspecify or ( out, 1n2, in1 ); endmodule module nand (out, in1, in2); output out; input in1, in2; reg svc_notifier; specify if ((in2)) (in1-=> out) = (0:0:0, 0:0:0); // delays are tris,tfall if none (in1-=>out) = (0:0::0, 0:0:0); // delays are tris,tfall if ((in1)) (in2 -=> out) = (0:0:0, 0:0:0); // delays are tris,tfall ifnone (in2-=>out) = (0:0:0, 0:0:0); // delays are tris,tfall endspecify wire net_out_1; not ( net_out_1, in2 ) ; not ( out_sel_,in1 ) ; or ( out, net_out_1, out_sel_) ; endmodule module and(out, in1, in2); output out; input in1, in2; reg svc_notifier; specify if ((in2)) (in1+=> out) = (0:0:0, 0:0:0); // delays are tris,tfall if none (in1+=>out) = (0:0::0, 0:0:0); // delays are tris,tfall if ((in1)) (in2+-=> out) = (0:0:0, 0:0:0); // delays are tris,tfall ifnone (in2+=>out) = (0:0:0, 0:0:0); // delays are tris,tfall endspecify or ( out, 1n2, in1 ); endmodule

57 I/O Cell Characterization Multi-Voltage I/O Cells Bi-Directional I/O Cells Open drain, Pull-up keeper Schmitt trigger Characterization: Delays/Slews Tri-state Measurements Capacitance Calculations

58 Multiple Job Submission Allows multiple cells to be characterized simultaneously Uses industry standard SUN Grid User selects which machines are available for use

59 Summary AccuCell for automated cell library characterization Automatic function extraction Automatic smart vector generation Automatic Table Selection (ATS) - accuracy Supports complex cells Domino and dynamic logic Differential logic Cells with many inputs Supports power characterization

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007 AccuCore SPICE Accurate Core Characterization with STA Silvaco Japan Technology Seminar Spring 2007 What is AccuCore? Why would I use it? AccuCore performs automatic block SPICE characterization and Static

More information

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2 Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Introduction to Verilog design. Design flow (from the book)

Introduction to Verilog design. Design flow (from the book) Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 1 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

AccuCore. Product Overview of Block Characterization, Modeling and STA

AccuCore. Product Overview of Block Characterization, Modeling and STA AccuCore Product Overview of Block Characterization, Modeling and STA What is AccuCore? AccuCore performs timing characterization of multi-million device circuits with SmartSpice accuracy and performs

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Verilog Tutorial (Structure, Test)

Verilog Tutorial (Structure, Test) Digital Circuit Design and Language Verilog Tutorial (Structure, Test) Chang, Ik Joon Kyunghee University Hierarchical Design Top-down Design Methodology Bottom-up Design Methodology Module START Example)

More information

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language COMS W4995-02 Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language Originally a modeling language for a very efficient event-driven

More information

Post-Synthesis Simulation. VITAL Models, SDF Files, Timing Simulation

Post-Synthesis Simulation. VITAL Models, SDF Files, Timing Simulation Post-Synthesis Simulation VITAL Models, SDF Files, Timing Simulation Post-synthesis simulation Purpose: Verify correctness of synthesized circuit Verify synthesis tool delay/timing estimates Synthesis

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

AccuCore Static Timing Analysis

AccuCore Static Timing Analysis AccuCore Static Timing Analysis AccuCore Static Timing Analysis High Performance SoC Timing Solution Levels of Design Abstraction History of Digital Functional Verification Definitions of Key STA Terminology

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Course Topics - Outline

Course Topics - Outline Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types Lecture 4 - Operators Lecture 5 - Behavioral modeling A Lecture 6 Behavioral modeling B Lecture 7

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

ARM 64-bit Register File

ARM 64-bit Register File ARM 64-bit Register File Introduction: In this class we will develop and simulate a simple, pipelined ARM microprocessor. Labs #1 & #2 build some basic components of the processor, then labs #3 and #4

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

4. Hot Socketing and Power-On Reset in MAX V Devices

4. Hot Socketing and Power-On Reset in MAX V Devices December 2010 MV51004-1.0 4. Hot Socketing and Power-On Reset in MAX V Devices MV51004-1.0 This chapter provides information about hot-socketing specifications, power-on reset (POR) requirements, and their

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3 Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm Lecture 3 Lecture 3 Topics Covered: Chapter 4 Discuss Sequential logic Verilog Coding Introduce Sequential coding Further review of Combinational Verilog

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Dynamic Logic Families

Dynamic Logic Families Dynamic Logic Families C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH,JR 1 Overview Reading Rabaey 6.3 (Dynamic), 7.5.2 (NORA) Overview This set of notes cover in greater detail Dynamic Logic Families

More information

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

ECE 4514 Digital Design II. Spring Lecture 2: Hierarchical Design

ECE 4514 Digital Design II. Spring Lecture 2: Hierarchical Design ECE 4514 Digital Design II Spring 2007 Abstraction in Hardware Design Remember from last lecture that HDLs offer a textual description of a netlist. Through abstraction in the HDL, we can capture more

More information

Online Verilog Resources

Online Verilog Resources EECS 427 Discussion 6: Verilog HDL Reading: Many references EECS 427 F08 Discussion 6 1 Online Verilog Resources ASICs the book, Ch. 11: http://www.ge.infn.it/~pratolo/verilog/verilogtutorial.pdf it/ pratolo/verilog/verilogtutorial

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references. EECS 427 W07 Lecture 14 1

EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references. EECS 427 W07 Lecture 14 1 EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references EECS 427 W07 Lecture 14 1 Online Verilog Resources ASICs the book, Ch. 11: http://www.ge.infn.it/~pratolo/verilog/verilogtutorial.pdf

More information

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project - Fall 2002 Lecture 20 Synthesis Sequential Logic Announcements Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project» Teams

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

Introduction to Digital Design with Verilog HDL

Introduction to Digital Design with Verilog HDL Introduction to Digital Design with Verilog HDL Modeling Styles 1 Levels of Abstraction n Behavioral The highest level of abstraction provided by Verilog HDL. A module is implemented in terms of the desired

More information

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis Synthesis of Language Constructs 1 Nets Nets declared to be input or output ports are retained Internal nets may be eliminated due to logic optimization User may force a net to exist trireg, tri0, tri1

More information

ECEN 468 Advanced Logic Design

ECEN 468 Advanced Logic Design ECEN 468 Advanced Logic Design Lecture 28: Synthesis of Language Constructs Synthesis of Nets v An explicitly declared net may be eliminated in synthesis v Primary input and output (ports) are always retained

More information

Dynamic CMOS Logic Gate

Dynamic CMOS Logic Gate Dynamic CMOS Logic Gate In dynamic CMOS logic a single clock can be used to accomplish both the precharge and evaluation operations When is low, PMOS pre-charge transistor Mp charges Vout to Vdd, since

More information

Sequential Logic Design

Sequential Logic Design Sequential Logic Design Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu (Guest starring: Frank K. Gürkaynak and Aanjhan Ranganathan) http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted

More information

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1]

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1] FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language Reference: [] FIELD PROGRAMMABLE GATE ARRAY FPGA is a hardware logic device that is programmable Logic functions may be programmed

More information

Microcomputers. Outline. Number Systems and Digital Logic Review

Microcomputers. Outline. Number Systems and Digital Logic Review Microcomputers Number Systems and Digital Logic Review Lecture 1-1 Outline Number systems and formats Common number systems Base Conversion Integer representation Signed integer representation Binary coded

More information

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC TUTORIAL 1 V1.1 Update on Sept 17, 2003 ECE 755 Part 1: Design Architect IC DA-IC provides a design environment comprising tools to create schematics, symbols and run simulations. The schematic editor

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 2012) The Register Transfer Level Daniel Kroening Oxford University, Computer Science Department Version 1.0, 2011 Outline Reminders Gates Implementations of Gates Latches, Flip-flops

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

±15kV ESD-Protected, Single/Dual/Octal, CMOS Switch Debouncers

±15kV ESD-Protected, Single/Dual/Octal, CMOS Switch Debouncers 19-477; Rev 1; 1/99 ±15k ESD-Protected, Single/Dual/Octal, General Description The are single, dual, and octal switch debouncers that provide clean interfacing of mechanical switches to digital systems.

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Prototype of SRAM by Sergey Kononov, et al.

Prototype of SRAM by Sergey Kononov, et al. Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant

More information

Advanced Digital Design with the Verilog HDL

Advanced Digital Design with the Verilog HDL Copyright 2001, 2003 MD Ciletti 1 Advanced Digital Design with the Verilog HDL M. D. Ciletti Department of Electrical and Computer Engineering University of Colorado Colorado Springs, Colorado ciletti@vlsic.uccs.edu

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

MP5013A 5 V, 5 A Programmable Current-Limit Switch with Over-Voltage Clamp and Slew-Rate Control in TSOT23-8

MP5013A 5 V, 5 A Programmable Current-Limit Switch with Over-Voltage Clamp and Slew-Rate Control in TSOT23-8 The Future of Analog IC Technology MP5013A 5 V, 5 A Programmable Current-Limit Switch with Over-Voltage Clamp and Slew-Rate Control in TSOT23-8 DESCRIPTION The MP5013A is a protection device designed to

More information

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) HDL-BASED SYNTHESIS Modern ASIC design use HDL together with synthesis tool to create

More information

Programmable Logic Devices Verilog VII CMPE 415

Programmable Logic Devices Verilog VII CMPE 415 Synthesis of Combinational Logic In theory, synthesis tools automatically create an optimal gate-level realization of a design from a high level HDL description. In reality, the results depend on the skill

More information

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad-500 014 Subject: Digital Design Using Verilog Hdl Class : ECE-II Group A (Short Answer Questions) UNIT-I 1 Define verilog HDL? 2 List levels of

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 00 0 ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK Course Name : DIGITAL DESIGN USING VERILOG HDL Course Code : A00 Class : II - B.

More information

ENGR 3410: Lab #1 MIPS 32-bit Register File

ENGR 3410: Lab #1 MIPS 32-bit Register File ENGR 3410: Lab #1 MIPS 32-bit Register File Due: October 12, 2005, beginning of class 1 Introduction The purpose of this lab is to create the first large component of our MIPS-style microprocessor the

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

DS1238A MicroManager PIN ASSIGNMENT PIN DESCRIPTION V BAT V CCO V CC

DS1238A MicroManager PIN ASSIGNMENT PIN DESCRIPTION V BAT V CCO V CC MicroManager www.dalsemi.com FEATURES Holds microprocessor in check during power transients Halts and restarts an out-of-control microprocessor Warns microprocessor of an impending power failure Converts

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design 1 In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

VHDL: RTL Synthesis Basics. 1 of 59

VHDL: RTL Synthesis Basics. 1 of 59 VHDL: RTL Synthesis Basics 1 of 59 Goals To learn the basics of RTL synthesis. To be able to synthesize a digital system, given its VHDL model. To be able to relate VHDL code to its synthesized output.

More information

MP5013E 5V, 2A, Programmable Current- Limit Switch with Over-Voltage Clamp and Slew Rate Control in a TSOT23-8

MP5013E 5V, 2A, Programmable Current- Limit Switch with Over-Voltage Clamp and Slew Rate Control in a TSOT23-8 MP5013E 5V, 2A, Programmable Current- Limit Switch with Over-Voltage Clamp and Slew Rate Control in a TSOT23-8 DESCRIPTION The MP5013E is a protection device designed to protect circuitry on the output

More information

E85: Digital Design and Computer Engineering Lab 1: Electrical Characteristics of Logic Gates

E85: Digital Design and Computer Engineering Lab 1: Electrical Characteristics of Logic Gates E85: Digital Design and Computer Engineering Lab 1: Electrical Characteristics of Logic Gates Objective The purpose of this lab is to become comfortable with logic gates as physical objects, to interpret

More information

4. Hot Socketing & Power-On Reset

4. Hot Socketing & Power-On Reset 4. Hot Socketing & Power-On Reset CII51004-3.1 Introduction Cyclone II devices offer hot socketing (also known as hot plug-in, hot insertion, or hot swap) and power sequencing support without the use of

More information

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD Brief Introduction of Cell-based Design Ching-Da Chan CIC/DSD 1 Design Abstraction Levels SYSTEM MODULE + GATE CIRCUIT S n+ G DEVICE n+ D 2 Full Custom V.S Cell based Design Full custom design Better patent

More information

Digital Design LU. Lab Exercise 1

Digital Design LU. Lab Exercise 1 Digital Design LU Lab Exercise 1 Jakob Lechner, Thomas Polzer {lechner, tpolzer}@ecs.tuwien.ac.at Department of Computer Engineering University of Technology Vienna Vienna, October 4, 2010 1 Overview 1

More information

RT54SX T r / T f Experiment

RT54SX T r / T f Experiment 955 East Arques Avenue, Sunnyvale, CA 94086 408-739-1010 RT54SX T r / T f Experiment July 08, 2002 BY Actel Product Engineering 1 DATE: July 08, 2002 DEVICE TYPE: RT54SX16-CQ256E RT54SX32-CQ208P WAFER

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

C-Based Hardware Design

C-Based Hardware Design LECTURE 6 In this lecture we will introduce: The VHDL Language and its benefits. The VHDL entity Concurrent and Sequential constructs Structural design. Hierarchy Packages Various architectures Examples

More information

Reference Sheet for C112 Hardware

Reference Sheet for C112 Hardware Reference Sheet for C112 Hardware 1 Boolean Algebra, Gates and Circuits Autumn 2016 Basic Operators Precedence : (strongest),, + (weakest). AND A B R 0 0 0 0 1 0 1 0 0 1 1 1 OR + A B R 0 0 0 0 1 1 1 0

More information

FM24C16C-GTR. 16Kb Serial 5V F-RAM Memory. Features. Description. Pin Configuration NC NC NC VSS VDD WP SCL SDA. Ordering Information.

FM24C16C-GTR. 16Kb Serial 5V F-RAM Memory. Features. Description. Pin Configuration NC NC NC VSS VDD WP SCL SDA. Ordering Information. Preliminary FM24C16C 16Kb Serial 5V F-RAM Memory Features 16K bit Ferroelectric Nonvolatile RAM Organized as 2,048 x 8 bits High Endurance (10 12 ) Read/Write Cycles 36 year Data Retention at +75 C NoDelay

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

Lecture 11 Logic Synthesis, Part 2

Lecture 11 Logic Synthesis, Part 2 Lecture 11 Logic Synthesis, Part 2 Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Write Synthesizable Code Use meaningful names for signals and variables

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

ENGR 3410: MP #1 MIPS 32-bit Register File

ENGR 3410: MP #1 MIPS 32-bit Register File ENGR 3410: MP #1 MIPS 32-bit Register File Due: October 12, 2007, 5pm 1 Introduction The purpose of this machine problem is to create the first large component of our MIPS-style microprocessor the register

More information

DS1676 Total Elapsed Time Recorder, Erasable

DS1676 Total Elapsed Time Recorder, Erasable www.dalsemi.com Preliminary DS1676 Total Elapsed Time Recorder, Erasable FEATURES Records the total time that the Event Input has been active and the number of events that have occurred. Volatile Elapsed

More information

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function. 1.b. Show that a 2-to-1 MUX is universal (i.e. that any Boolean expression can be implemented with

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

Verilog Nonblocking Assignments with Delays - Myths & Mysteries

Verilog Nonblocking Assignments with Delays - Myths & Mysteries Verilog Nonblocking Assignments with Delays - Myths & Mysteries Clifford E. Cummings, Inc. cliffc@sunburst-design.com www.sunburst-design.com 2 of 67 Agenda IEEE 1364 reference model & event queue Review

More information

Fremont Micro Devices, Inc.

Fremont Micro Devices, Inc. FEATURES Low voltage and low power operations: FT24C02/04/08/16: V CC = 2.5V to 5.5V FT24C02A/04A/08A/16A: V CC = 1.8V to 5.5V Maximum Standby current < 1µA (typically 0.02µA and 0.06µA @ 1.8V and 5.5V

More information

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed.

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed. VLSI SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING VLSI System Design 1 LAB 01 Schematic Introduction to DSCH and

More information

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook TPZ013GV3 TSMC 0.13um Standard I/O Library Databook Version 220C May 11, 2007 Copyright 2007 Taiwan Semiconductor Manufacturing Company Ltd. All Rights Reserved No part of this publication may be reproduced

More information

Project Timing Analysis

Project Timing Analysis Project Timing Analysis Jacob Schneider, Intel Corp Sanjeev Gokhale, Intel Corp Mark McDermott EE 382M Class Notes Overview Brief overview of global timing Example of extracting AT, RAT, and PASSTHROUGHs

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4)

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4) Unit 7: Memory Objectives: At the end of this unit we will be able to understand System timing consideration Storage / Memory Elements dynamic shift register 1T and 3T dynamic memory 4T dynamic and 6T

More information

Introduction to Verilog and ModelSim. (Part 5 Sequential Logic)

Introduction to Verilog and ModelSim. (Part 5 Sequential Logic) Introduction to Verilog and ModelSim (Part 5 Sequential Logic) Sequential Logic It implements storage capabilities of the system Data latch structure Requires clock/gate/latch signal input Latch (level

More information

First Name: Last Name: PID: CSE 140L Exam. Prof. Tajana Simunic Rosing. Winter 2010

First Name: Last Name: PID: CSE 140L Exam. Prof. Tajana Simunic Rosing. Winter 2010 CSE 140L Exam Prof. Tajana Simunic Rosing Winter 2010 Do not start the exam until you are told to. Turn off any cell phones or pagers. Write your name and PID at the top of every page. Do not separate

More information

512K bitstwo-wire Serial EEPROM

512K bitstwo-wire Serial EEPROM General Description The provides 524,288 bits of serial electrically erasable and programmable read-only memory (EEPROM), organized as 65,536 words of 8 bits each. The device is optimized for use in many

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis September 26, 2002 John Wawrzynek Fall 2002 EECS150 Lec10-synthesis Page 1 Logic Synthesis Verilog and VHDL stated out as simulation languages, but quickly

More information

DIGITAL SYSTEM DESIGN

DIGITAL SYSTEM DESIGN DIGITAL SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING Digital System Design 1 Name: Registration No: Roll No: Semester:

More information

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM 131,072-word 8-bit High speed CMOS Static RAM ADE-203-363A(Z) Rev. 1.0 Apr. 28, 1995 The Hitachi HM628128BI is a CMOS static RAM organized 131,072-word 8-bit. It realizes higher density, higher performance

More information

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 99-1 Under-Graduate Project Verilog Simulation & Debugging Tools Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 ACCESS IC LAB Outline Basic Concept of Verilog HDL Gate Level Modeling

More information

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 98-1 Under-Graduate Project Synthesis of Combinational Logic Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 What is synthesis? Outline Behavior Description for Synthesis Write Efficient HDL

More information

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran CAD for VLSI Design - I Lecture 21 V. Kamakoti and Shankar Balachandran Overview of this Lecture Understanding the process of Logic synthesis Logic Synthesis of HDL constructs Logic Synthesis What is this?

More information

FM24C Kb FRAM Serial Memory Features

FM24C Kb FRAM Serial Memory Features Preliminary FM24C512 512Kb FRAM Serial Memory Features 512Kbit Ferroelectric Nonvolatile RAM Organized as 65,536 x 8 bits High Endurance 10 Billion (10 10 ) Read/Writes 45 year Data Retention NoDelay Writes

More information

Digital Design with SystemVerilog

Digital Design with SystemVerilog Digital Design with SystemVerilog Prof. Stephen A. Edwards Columbia University Spring 25 Synchronous Digital Design Combinational Logic Sequential Logic Summary of Modeling Styles Testbenches Why HDLs?

More information