DSP Processors Lecture 13

Size: px
Start display at page:

Download "DSP Processors Lecture 13"

Transcription

1 DSP Processors Lecture 13 Ingrid Verbauwhede Department of Electrical Engineering University of California Los Angeles 1 References The origins: E.A. Lee, Programmable DSP Processors, Part I, IEEE ASSP magazine, October 1988, pg Part II, IEEE ASSP magazine, January 1989, pg Good overview: P. Lapsley, J. Bier, A. Shoham, E.A.Lee, DSP Processor Fundamentals: Architectures and Features, IEEE Press, More references: P. Faraboschi, G. Desoli, J. Fisher, The latest word in Digital and Media Processing, IEEE Signal Processing Magazine, March 1998, pg , (download from the INSPEC webpage). I. Verbauwhede, M. Touriguian, Wireless Digital Signal Processors, Chapter 11 in Digital Signal Processing for Multimedia Systems, Eds. By K. Parhi, T. Nishitani, Marcel Dekker, Inc. C. Nicol, I. Verbauwhede, DSP Architectures for Next Generation wireless communications, ISSCC 2000 tutorial. 2 1

2 Recall: architecture FIR execution on: Von Neumann: 3 cycles/tap Basic Harvard: 2 cycles/tap Modified Harvard & repeat loop: 1 cycle per tap & only 3 instructions Key issues: bandwidth by multiple memory banks or multi port memories Every memory has its OWN address generation unit operating in parallel Special instructions that combine operations with memory moves: MACD Indirect addressing: *r1++ or *r2-- circular buffers: extra hardware in the address generation units FASTER THAN 1 CYCLE PER TAP?? 3 Compute Intensive function 1: FIR (cont.) y(n) = N-1 c(i) x(n-i) Σ i=0 x(n) c(0) X x(n-1) Z -1 Z -1 Z -1 (50 TAPS) X X c(n-1) X x(n-(n-1)) y(n) y(0) = c(0)x(0) + c(1)x(-1) + c(2)x(-2) c(n-1)x(1-n); y(1) = c(0)x(1) + c(1)x(0) + c(2)x(-1) c(n-1)x(2-n); y(2) = c(0)x(2) + c(1)x(1) + c(2)x(0) c(n-1)x(3-n);... y(n) = c(0)x(n) + c(1)x(n-1) + c(2)x(n-2)+.. + c(n-1)x(n-(n-1)); One output = 2N reads, N MAC s, 1 write Classic Harvard: one output = N cycles 4 2

3 FIR speed-up FIR filtering: two outputs in parallel y(0) = c(0)x(0) + c(1)x(-1) + c(2)x(-2) c(n-1)x(1-n); y(1) = c(0)x(1) + c(1)x(0) + c(2)x(-1) c(n-1)x(2-n); y(2) = c(0)x(2) + c(1)x(1) + c(2)x(0) c(n-1)x(3-n);... y(n) = c(0)x(n) + c(1)x(n-1) + c(2)x(n-2)+.. + c(n-1)x(n-(n-1)); Two outputs = 4N reads, 2N MAC s, 2 writes Dual Mac Architecture with ONLY 2 data busses?? Read two -bit numbers instead of four -bit numbers Solution by Lucent 000 core with dual MAC Run MAC at double frequency, read two -bit numbers Solution by Matsushita Insert delay register Solution by Atmel s LODE 5 Example 3: Lucent DSP210 Inner loop of -tap FIR Filter do 14 { //one instruction! a0=a0+p0+p1 p0=xh*yh p1=xl*yl y=*r0++ x=*pt0++ } Outer Loop: 19 cycles, 38 bytes 1 cycle in inner loop 5 exec units used in inner loop 2 MACs per cycle Y() x mpy x mpy p0 () p1 () Shift/Sat. X() Shift/Sat. XDB() IDB() Horizontal parallelism, one sample at a time ADD BMU 2G mobile wireless base-stations ACC File 8 x 40 Courtesy: Gareth Hughes, Bell Labs Australia 6 3

4 FIR on Lode FIR filter: two outputs in parallel with delay register y(0) = c(0)x(0) + c(1)x(-1) + c(2)x(-2) c(n-1)x(1-n); y(1) = c(0)x(1) + c(1)x(0) + c(2)x(-1) c(n-1)x(2-n); y(2) = c(0)x(2) + c(1)x(1) + c(2)x(0) c(n-1)x(3-n);... y(n) = c(0)x(n) + c(1)x(n-1) + c(2)x(n-2)+.. + c(n-1)x(n-(n-1)); Total energy for one output sample: Energy Single MAC Dual MAC Dual MAC with REG No. of MAC operations N N N No of reads 2N 2N N No of Instruction Cycles N N/2 N/2 7 FIR on Lode Two MAC units with dedicated bus network DB1() DB0() DB0 fetches coefficient c(i) x(n-i+1) LREG x(n-i) c(i) DB1 fetches data LREG delays input data A0 stores y(n) output A1 stores y(n+1) output MAC1 X + X + MAC0 y(n+1) A0 y(n) A1 Same structure can be used for IIR 8 4

5 Arithmetic DSP processors come in two flavors: floating point most popular one: Sharc s from Analog Devices fixed point usually bit, sometimes 24 bit (audio processors) newer processors might have wider data paths or registers (TI C6x: x mpy, bit registers, ) Basic datapath bit x mpy shifter Select bit 9 Overflow: Saturation logic combined with output shifter bit x mpy Shifter/ saturate Select bit How to implement saturation? 10 5

6 Overflow: Input shifter: scaling, line up of the inputs = loss of precision if shift to much down. x mpy input Shifter bit Shifter/ saturate Select bit 11 Block normalization Often used in speech coders because dynamic range of the input signals is unknown. Scale the whole array of values such that the maximum entry sits in the range [0.5, 1) minimum loss of precision TIC54x: EXP A NORM A <- counts number of sign bits, stores this number in TREG <- shifts the accumulator by the number of bits in TREG Lode: Repeat N; A3 = expmn (*r0), r0++; (stores # of sign bits in special register ASR) Repeat N; *r0 = *r0 < ASR, r0++; 12 6

7 Pipelining: Time = fetch instruction = decode instruction access = address generation and read operands = perform operation 13 Pipelining How does pipeline appears to the programmer? Lee s paper (part II) discusses 3 variations (the difference is often blurry): interlocking time stationary coding data stationary coding Interlocking: the instructions appear if executed one after another 14 7

8 Interlocking on C10 LT LTD Reservation table: PMEM LT LTD LTD DMEM data coef1 data coef Interlocking on C2x Programmer does not know the pipeline If an access conflict occurs: hardware will stall and finish one (part) of an Instruction before finishing a second part. RPTK 49 MACD Reservation table: PMEM RPTK MACD coef1 coef2 coef3 DMEM data1 data2... 8

9 Single Cycle MAC TMS0C2x Multiplier/ Program Bus Data Bus Left T Register () MUX Shifter (0-) Multiplier (x) P Register () Left Shifter (0-) MUX Arithmetic Logic Unit () C Accumulator Register () Left Shifter (0-7) Single Cycle x bit Multiply yielding a -bit product Supports simultaneous Program and two Data Operand acquisition Supports simultaneous and Multiplier operations 0- bit Left Post-Shifter Courtesy: Texas Instruments 17 Example: MACD MACD = Multiply by Program and Accumulate with Delay (Instruction is still present in C54x and C55x) MACD Smem, pmad, src Smem = data memory pmad = program address src = accumulator (A or B) s (simplified): (Smem) x (Pmem(at location pmad)) + src -> src ; = multiply accumulate (Smem) -> Treg ; load data in Treg register (Smem) -> Smem +1 ; load data in next mem loc. (pmad) +1 -> pmad ; increment program address pointer When executing with a repeat instruction, takes one cycle 18 9

10 Time stationary Instruction specifies one instruction cycle. So it specifies, all that occurs in parallel. Example: Motorola: MAC X0, Y0, A X:(R0)+, X0 Y:(R4-), Y0 (multiply-acc of values read from memory in the previous cycle Lucent x a0 = a0 + p, p = x * y, y = *r0++, x = *pt Data stationary Time stationary: working on different samples in one instruction Data stationary: describes what happens with one input data from start to end. Example (Lode): *r3++ = a0+ = a2 * *r2++; (read from memory with pointer reg r2, Multiply with a2, add to a0 and store back in a0, Store the result in memory with pointer r3, Post modify r2 and r3) Read Write 20 10

11 Control & Pipeline for DSP s RISC: load/store machine memory access with load/store instructions (DLX, MIPS, D10V) Write Back access / branch Execution/ address generation Excellent for complex decision making! DSP: register-memory architecture (TI, Lucent, HX, Lode) Write Back Execution access Excellent for number crunching! 21 Pipeline RISC compared to DSP RISC:example r0 = *p0; // load data a0 = a0 + r0; // execute Too expensive for DSP DSP: memory intensive applications: Penalty: data dependent branch is expensive 22 11

Implementation of DSP Algorithms

Implementation of DSP Algorithms Implementation of DSP Algorithms Main frame computers Dedicated (application specific) architectures Programmable digital signal processors voice band data modem speech codec 1 PDSP and General-Purpose

More information

General Purpose Signal Processors

General Purpose Signal Processors General Purpose Signal Processors First announced in 1978 (AMD) for peripheral computation such as in printers, matured in early 80 s (TMS320 series). General purpose vs. dedicated architectures: Pros:

More information

VIII. DSP Processors. Digital Signal Processing 8 December 24, 2009

VIII. DSP Processors. Digital Signal Processing 8 December 24, 2009 Digital Signal Processing 8 December 24, 2009 VIII. DSP Processors 2007 Syllabus: Introduction to programmable DSPs: Multiplier and Multiplier-Accumulator (MAC), Modified bus structures and memory access

More information

An introduction to DSP s. Examples of DSP applications Why a DSP? Characteristics of a DSP Architectures

An introduction to DSP s. Examples of DSP applications Why a DSP? Characteristics of a DSP Architectures An introduction to DSP s Examples of DSP applications Why a DSP? Characteristics of a DSP Architectures DSP example: mobile phone DSP example: mobile phone with video camera DSP: applications Why a DSP?

More information

Low-Power DSP s for Wireless Communications

Low-Power DSP s for Wireless Communications Low-Power DSP s for Wireless Communications Ingrid Verbauwhede Department of Electrical Engineering University of California Los Angeles ingrid@ee.ucla.edu David Garrett Bell Laboratories Australia Lucent

More information

INTRODUCTION TO DIGITAL SIGNAL PROCESSOR

INTRODUCTION TO DIGITAL SIGNAL PROCESSOR INTRODUCTION TO DIGITAL SIGNAL PROCESSOR By, Snehal Gor snehalg@embed.isquareit.ac.in 1 PURPOSE Purpose is deliberately thought-through goal-directedness. - http://en.wikipedia.org/wiki/purpose This document

More information

DSP VLSI Design. Instruction Set. Byungin Moon. Yonsei University

DSP VLSI Design. Instruction Set. Byungin Moon. Yonsei University Byungin Moon Yonsei University Outline Instruction types Arithmetic and multiplication Logic operations Shifting and rotating Comparison Instruction flow control (looping, branch, call, and return) Conditional

More information

Storage I/O Summary. Lecture 16: Multimedia and DSP Architectures

Storage I/O Summary. Lecture 16: Multimedia and DSP Architectures Storage I/O Summary Storage devices Storage I/O Performance Measures» Throughput» Response time I/O Benchmarks» Scaling to track technological change» Throughput with restricted response time is normal

More information

Cache Justification for Digital Signal Processors

Cache Justification for Digital Signal Processors Cache Justification for Digital Signal Processors by Michael J. Lee December 3, 1999 Cache Justification for Digital Signal Processors By Michael J. Lee Abstract Caches are commonly used on general-purpose

More information

Typical DSP application

Typical DSP application DSP markets DSP markets Typical DSP application TI DSP History: Modem applications 1982 TMS32010, TI introduces its first programmable general-purpose DSP to market Operating at 5 MIPS. It was ideal for

More information

DSP Platforms Lab (AD-SHARC) Session 05

DSP Platforms Lab (AD-SHARC) Session 05 University of Miami - Frost School of Music DSP Platforms Lab (AD-SHARC) Session 05 Description This session will be dedicated to give an introduction to the hardware architecture and assembly programming

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN - FRBA 2011 www.electron.frba.utn.edu.ar/dplab Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable.

More information

An Optimizing Compiler for the TMS320C25 DSP Chip

An Optimizing Compiler for the TMS320C25 DSP Chip An Optimizing Compiler for the TMS320C25 DSP Chip Wen-Yen Lin, Corinna G Lee, and Paul Chow Published in Proceedings of the 5th International Conference on Signal Processing Applications and Technology,

More information

INTRODUCTION TO DIGITAL SIGNAL PROCESSORS

INTRODUCTION TO DIGITAL SIGNAL PROCESSORS INTRODUCTION TO DIGITAL SIGNAL PROCESSORS Accumulator architecture Memoryregister architecture Prof. Brian L. Evans in collaboration with Niranjan DameraVenkata and Magesh Valliappan Loadstore architecture

More information

COSC 6385 Computer Architecture - Pipelining

COSC 6385 Computer Architecture - Pipelining COSC 6385 Computer Architecture - Pipelining Fall 2006 Some of the slides are based on a lecture by David Culler, Instruction Set Architecture Relevant features for distinguishing ISA s Internal storage

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN-FRBA 2010 Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable. Reproducibility. Don t depend on components

More information

Embedded Software in Real-Time Signal Processing Systems: Design Technologies. Minxi Gao Xiaoling Xu. Outline

Embedded Software in Real-Time Signal Processing Systems: Design Technologies. Minxi Gao Xiaoling Xu. Outline Embedded Software in Real-Time Signal Processing Systems: Design Technologies Minxi Gao Xiaoling Xu Outline Problem definition Classification of processor architectures Survey of compilation techniques

More information

7/7/2013 TIFAC CORE IN NETWORK ENGINEERING

7/7/2013 TIFAC CORE IN NETWORK ENGINEERING TMS320C50 Architecture 1 OVERVIEW OF DSP PROCESSORS BY Dr. M.Pallikonda Rajasekaran, Professor/ECE 2 3 4 Short history of DSPs 1960 DSP hardware using discrete components 1970 Monolithic components for

More information

Separating Reality from Hype in Processors' DSP Performance. Evaluating DSP Performance

Separating Reality from Hype in Processors' DSP Performance. Evaluating DSP Performance Separating Reality from Hype in Processors' DSP Performance Berkeley Design Technology, Inc. +1 (51) 665-16 info@bdti.com Copyright 21 Berkeley Design Technology, Inc. 1 Evaluating DSP Performance! Essential

More information

EE201A Presentation. Memory Addressing Organization for Stream-Based Reconfigurable Computing

EE201A Presentation. Memory Addressing Organization for Stream-Based Reconfigurable Computing EE201A Presentation Memory Addressing Organization for Stream-Based Reconfigurable Computing Team member: Chun-Ching Tsan : Smart Address Generator - a Review Yung-Szu Tu : TI DSP Architecture and Data

More information

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan Processors Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan chanhl@maili.cgu.edu.twcgu General-purpose p processor Control unit Controllerr Control/ status Datapath ALU

More information

ASSEMBLY LANGUAGE MACHINE ORGANIZATION

ASSEMBLY LANGUAGE MACHINE ORGANIZATION ASSEMBLY LANGUAGE MACHINE ORGANIZATION CHAPTER 3 1 Sub-topics The topic will cover: Microprocessor architecture CPU processing methods Pipelining Superscalar RISC Multiprocessing Instruction Cycle Instruction

More information

TMS320C3X Floating Point DSP

TMS320C3X Floating Point DSP TMS320C3X Floating Point DSP Microcontrollers & Microprocessors Undergraduate Course Isfahan University of Technology Oct 2010 By : Mohammad 1 DSP DSP : Digital Signal Processor Why A DSP? Example Voice

More information

General Purpose Processors

General Purpose Processors Calcolatori Elettronici e Sistemi Operativi Specifications Device that executes a program General Purpose Processors Program list of instructions Instructions are stored in an external memory Stored program

More information

Code Compression for DSP

Code Compression for DSP Code for DSP Charles Lefurgy and Trevor Mudge {lefurgy,tnm}@eecs.umich.edu EECS Department, University of Michigan 1301 Beal Ave., Ann Arbor, MI 48109-2122 http://www.eecs.umich.edu/~tnm/compress Abstract

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Programmable DSP Architectures Chih-Wei Liu VLSI Signal Processing Lab Department of Electronics Engineering National Chiao Tung University Outline DSP Arithmetic Stream Interface

More information

Microprocessors vs. DSPs (ESC-223)

Microprocessors vs. DSPs (ESC-223) Insight, Analysis, and Advice on Signal Processing Technology Microprocessors vs. DSPs (ESC-223) Kenton Williston Berkeley Design Technology, Inc. Berkeley, California USA +1 (510) 665-1600 info@bdti.com

More information

04 - DSP Architecture and Microarchitecture

04 - DSP Architecture and Microarchitecture September 11, 2015 Memory indirect addressing (continued from last lecture) ; Reality check: Data hazards! ; Assembler code v3: repeat 256,endloop load r0,dm1[dm0[ptr0++]] store DM0[ptr1++],r0 endloop:

More information

Lode DSP Core. Features. Overview

Lode DSP Core. Features. Overview Features Two multiplier accumulator units Single cycle 16 x 16-bit signed and unsigned multiply - accumulate 40-bit arithmetic logical unit (ALU) Four 40-bit accumulators (32-bit + 8 guard bits) Pre-shifter,

More information

Design of Embedded DSP Processors Unit 2: Design basics. 9/11/2017 Unit 2 of TSEA H1 1

Design of Embedded DSP Processors Unit 2: Design basics. 9/11/2017 Unit 2 of TSEA H1 1 Design of Embedded DSP Processors Unit 2: Design basics 9/11/2017 Unit 2 of TSEA26-2017 H1 1 ASIP/ASIC design flow We need to have the flow in mind, so that we will know what we are talking about in later

More information

INTRODUCTION TO DIGITAL SIGNAL PROCESSORS

INTRODUCTION TO DIGITAL SIGNAL PROCESSORS INTRODUCTION TO DIGITAL SIGNAL PROCESSORS Accumulator architecture Memoryregister architecture Prof. Brian L. Evans in collaboration with Niranjan DameraVenkata and Magesh Valliappan Loadstore architecture

More information

The Evolution of DSP Processors

The Evolution of DSP Processors Berkeley Design Technology, Inc. Optimized DSP Software Independent DSP Analysis A BDTI White Paper The Evolution of DSP Processors By Jennifer Eyre and Jeff Bier, Berkeley Design Technology, Inc. (BDTI)

More information

A First Look at Microprocessors

A First Look at Microprocessors A First Look at Microprocessors using the The General Prototype Computer (GPC) model Part 2 Can you identify an opcode to: Decrement the contents of R1, and store the result in R5? Invert the contents

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction The Motorola DSP56300 family of digital signal processors uses a programmable, 24-bit, fixed-point core. This core is a high-performance, single-clock-cycle-per-instruction engine

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING SASE 2010 Universidad Tecnológica Nacional - FRBA Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable.

More information

M.Tech. credit seminar report, Electronic Systems Group, EE Dept, IIT Bombay, Submitted: November Evolution of DSPs

M.Tech. credit seminar report, Electronic Systems Group, EE Dept, IIT Bombay, Submitted: November Evolution of DSPs M.Tech. credit seminar report, Electronic Systems Group, EE Dept, IIT Bombay, Submitted: November 2002 Evolution of DSPs Author: Kartik Kariya (Roll No. 02307923) Supervisor: Prof. Vikram M. Gadre, Associate

More information

EITF20: Computer Architecture Part2.2.1: Pipeline-1

EITF20: Computer Architecture Part2.2.1: Pipeline-1 EITF20: Computer Architecture Part2.2.1: Pipeline-1 Liang Liu liang.liu@eit.lth.se 1 Outline Reiteration Pipelining Harzards Structural hazards Data hazards Control hazards Implementation issues Multi-cycle

More information

Lecture 4 - Number Representations, DSK Hardware, Assembly Programming

Lecture 4 - Number Representations, DSK Hardware, Assembly Programming Lecture 4 - Number Representations, DSK Hardware, Assembly Programming James Barnes (James.Barnes@colostate.edu) Spring 2014 Colorado State University Dept of Electrical and Computer Engineering ECE423

More information

Universität Dortmund. ARM Architecture

Universität Dortmund. ARM Architecture ARM Architecture The RISC Philosophy Original RISC design (e.g. MIPS) aims for high performance through o reduced number of instruction classes o large general-purpose register set o load-store architecture

More information

Digital Signal Processors: fundamentals & system design. Lecture 1. Maria Elena Angoletta CERN

Digital Signal Processors: fundamentals & system design. Lecture 1. Maria Elena Angoletta CERN Digital Signal Processors: fundamentals & system design Lecture 1 Maria Elena Angoletta CERN Topical CAS/Digital Signal Processing Sigtuna, June 1-9, 2007 Lectures plan Lecture 1 (now!) introduction, evolution,

More information

Floating-point to Fixed-point Conversion. Digital Signal Processing Programs (Short Version for FPGA DSP)

Floating-point to Fixed-point Conversion. Digital Signal Processing Programs (Short Version for FPGA DSP) Floating-point to Fixed-point Conversion for Efficient i Implementation ti of Digital Signal Processing Programs (Short Version for FPGA DSP) Version 2003. 7. 18 School of Electrical Engineering Seoul

More information

CPE300: Digital System Architecture and Design

CPE300: Digital System Architecture and Design CPE300: Digital System Architecture and Design Fall 2011 MW 17:30-18:45 CBC C316 Arithmetic Unit 10032011 http://www.egr.unlv.edu/~b1morris/cpe300/ 2 Outline Recap Chapter 3 Number Systems Fixed Point

More information

Page 1. Structure of von Nuemann machine. Instruction Set - the type of Instructions

Page 1. Structure of von Nuemann machine. Instruction Set - the type of Instructions Structure of von Nuemann machine Arithmetic and Logic Unit Input Output Equipment Main Memory Program Control Unit 1 1 Instruction Set - the type of Instructions Arithmetic + Logical (ADD, SUB, MULT, DIV,

More information

EITF20: Computer Architecture Part2.2.1: Pipeline-1

EITF20: Computer Architecture Part2.2.1: Pipeline-1 EITF20: Computer Architecture Part2.2.1: Pipeline-1 Liang Liu liang.liu@eit.lth.se 1 Outline Reiteration Pipelining Harzards Structural hazards Data hazards Control hazards Implementation issues Multi-cycle

More information

03 - The Junior Processor

03 - The Junior Processor September 10, 2014 Designing a minimal instruction set What is the smallest instruction set you can get away with while retaining the capability to execute all possible programs you can encounter? Designing

More information

TMS320C54x DSP Programming Environment APPLICATION BRIEF: SPRA182

TMS320C54x DSP Programming Environment APPLICATION BRIEF: SPRA182 TMS320C54x DSP Programming Environment APPLICATION BRIEF: SPRA182 M. Tim Grady Senior Member, Technical Staff Texas Instruments April 1997 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to

More information

Pipelining. CSC Friday, November 6, 2015

Pipelining. CSC Friday, November 6, 2015 Pipelining CSC 211.01 Friday, November 6, 2015 Performance Issues Longest delay determines clock period Critical path: load instruction Instruction memory register file ALU data memory register file Not

More information

Where Does The Cpu Store The Address Of The

Where Does The Cpu Store The Address Of The Where Does The Cpu Store The Address Of The Next Instruction To Be Fetched The three most important buses are the address, the data, and the control buses. The CPU always knows where to find the next instruction

More information

Embedded Systems Development

Embedded Systems Development Embedded Systems Development Lecture 8 Code Generation for Embedded Processors Daniel Kästner AbsInt Angewandte Informatik GmbH kaestner@absint.com 2 Life Range and Register Interference A symbolic register

More information

Processor (I) - datapath & control. Hwansoo Han

Processor (I) - datapath & control. Hwansoo Han Processor (I) - datapath & control Hwansoo Han Introduction CPU performance factors Instruction count - Determined by ISA and compiler CPI and Cycle time - Determined by CPU hardware We will examine two

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Embedded Computing Platform. Architecture and Instruction Set

Embedded Computing Platform. Architecture and Instruction Set Embedded Computing Platform Microprocessor: Architecture and Instruction Set Ingo Sander ingo@kth.se Microprocessor A central part of the embedded platform A platform is the basic hardware and software

More information

MIPS Technologies MIPS32 M4K Synthesizable Processor Core By the staff of

MIPS Technologies MIPS32 M4K Synthesizable Processor Core By the staff of An Independent Analysis of the: MIPS Technologies MIPS32 M4K Synthesizable Processor Core By the staff of Berkeley Design Technology, Inc. OVERVIEW MIPS Technologies, Inc. is an Intellectual Property (IP)

More information

Lecture 5: Instruction Pipelining. Pipeline hazards. Sequential execution of an N-stage task: N Task 2

Lecture 5: Instruction Pipelining. Pipeline hazards. Sequential execution of an N-stage task: N Task 2 Lecture 5: Instruction Pipelining Basic concepts Pipeline hazards Branch handling and prediction Zebo Peng, IDA, LiTH Sequential execution of an N-stage task: 3 N Task 3 N Task Production time: N time

More information

Department of Computer and IT Engineering University of Kurdistan. Computer Architecture Pipelining. By: Dr. Alireza Abdollahpouri

Department of Computer and IT Engineering University of Kurdistan. Computer Architecture Pipelining. By: Dr. Alireza Abdollahpouri Department of Computer and IT Engineering University of Kurdistan Computer Architecture Pipelining By: Dr. Alireza Abdollahpouri Pipelined MIPS processor Any instruction set can be implemented in many

More information

ARM Architecture and Instruction Set

ARM Architecture and Instruction Set AM Architecture and Instruction Set Ingo Sander ingo@imit.kth.se AM Microprocessor Core AM is a family of ISC architectures, which share the same design principles and a common instruction set AM does

More information

A DSP Systems Design Course based on TI s C6000 Family of DSPs

A DSP Systems Design Course based on TI s C6000 Family of DSPs A DSP Systems Design Course based on TI s C6000 Family of DSPs Evangelos Zigouris, Athanasios Kalantzopoulos and Evangelos Vassalos Electronics Lab., Electronics and Computers Div., Department of Physics,

More information

William Stallings Computer Organization and Architecture 8 th Edition. Chapter 14 Instruction Level Parallelism and Superscalar Processors

William Stallings Computer Organization and Architecture 8 th Edition. Chapter 14 Instruction Level Parallelism and Superscalar Processors William Stallings Computer Organization and Architecture 8 th Edition Chapter 14 Instruction Level Parallelism and Superscalar Processors What is Superscalar? Common instructions (arithmetic, load/store,

More information

Reconfigurable Interconnect for Next Generation Systems

Reconfigurable Interconnect for Next Generation Systems Reconfigurable Interconnect for Next Generation Systems, M.F. Chang Electrical Engineering Department, UCLA 7440B Boelter Hall ingrid@ee.ucla.edu with thanks to: Jongsun Kim, Patrick Schaumont, UCLA Outline

More information

An introduction to Digital Signal Processors (DSP) Using the C55xx family

An introduction to Digital Signal Processors (DSP) Using the C55xx family An introduction to Digital Signal Processors (DSP) Using the C55xx family Group status (~2 minutes each) 5 groups stand up What processor(s) you are using Wireless? If so, what technologies/chips are you

More information

Insider Insights on the ARM11 s Signal-Processing Capabilities

Insider Insights on the ARM11 s Signal-Processing Capabilities Insight, Analysis, and Advice on Signal Processing Technology Insider Insights on the 11 s Signal-Processing Capabilities Kenton Williston Berkeley Design Technology, Inc. Berkeley, California USA +1 (510)

More information

Instruction Sets: Characteristics and Functions Addressing Modes

Instruction Sets: Characteristics and Functions Addressing Modes Instruction Sets: Characteristics and Functions Addressing Modes Chapters 10 and 11, William Stallings Computer Organization and Architecture 7 th Edition What is an Instruction Set? The complete collection

More information

FAST FIR FILTERS FOR SIMD PROCESSORS WITH LIMITED MEMORY BANDWIDTH

FAST FIR FILTERS FOR SIMD PROCESSORS WITH LIMITED MEMORY BANDWIDTH Key words: Digital Signal Processing, FIR filters, SIMD processors, AltiVec. Grzegorz KRASZEWSKI Białystok Technical University Department of Electrical Engineering Wiejska

More information

MAHALAKSHMI ENGINEERING COLLEGE-TRICHY

MAHALAKSHMI ENGINEERING COLLEGE-TRICHY DIGITAL SIGNAL PROCESSING DEPT./SEM.: ECE-V 1. Write the applications of barrel shifter UNIT -V PART-A The barrel shifter is also used for scaling operations such as: i. Prescaling an input data-memory

More information

Instr. execution impl. view

Instr. execution impl. view Pipelining Sangyeun Cho Computer Science Department Instr. execution impl. view Single (long) cycle implementation Multi-cycle implementation Pipelined implementation Processing an instruction Fetch instruction

More information

3/12/2014. Single Cycle (Review) CSE 2021: Computer Organization. Single Cycle with Jump. Multi-Cycle Implementation. Why Multi-Cycle?

3/12/2014. Single Cycle (Review) CSE 2021: Computer Organization. Single Cycle with Jump. Multi-Cycle Implementation. Why Multi-Cycle? CSE 2021: Computer Organization Single Cycle (Review) Lecture-10b CPU Design : Pipelining-1 Overview, Datapath and control Shakil M. Khan 2 Single Cycle with Jump Multi-Cycle Implementation Instruction:

More information

Chapter 4. The Processor

Chapter 4. The Processor Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified

More information

William Stallings Computer Organization and Architecture 8 th Edition. Chapter 12 Processor Structure and Function

William Stallings Computer Organization and Architecture 8 th Edition. Chapter 12 Processor Structure and Function William Stallings Computer Organization and Architecture 8 th Edition Chapter 12 Processor Structure and Function CPU Structure CPU must: Fetch instructions Interpret instructions Fetch data Process data

More information

COMP3221: Microprocessors and. and Embedded Systems. Instruction Set Architecture (ISA) What makes an ISA? #1: Memory Models. What makes an ISA?

COMP3221: Microprocessors and. and Embedded Systems. Instruction Set Architecture (ISA) What makes an ISA? #1: Memory Models. What makes an ISA? COMP3221: Microprocessors and Embedded Systems Lecture 2: Instruction Set Architecture (ISA) http://www.cse.unsw.edu.au/~cs3221 Lecturer: Hui Wu Session 2, 2005 Instruction Set Architecture (ISA) ISA is

More information

University of California, Berkeley. Midterm II. You are allowed to use a calculator and one 8.5" x 1" double-sided page of notes.

University of California, Berkeley. Midterm II. You are allowed to use a calculator and one 8.5 x 1 double-sided page of notes. University of California, Berkeley College of Engineering Computer Science Division EECS Fall 1997 D.A. Patterson Midterm II October 19, 1997 CS152 Computer Architecture and Engineering You are allowed

More information

DSP VLSI Design. Pipelining. Byungin Moon. Yonsei University

DSP VLSI Design. Pipelining. Byungin Moon. Yonsei University Byungin Moon Yonsei University Outline What is pipelining? Performance advantage of pipelining Pipeline depth Interlocking Due to resource contention Due to data dependency Branching Effects Interrupt

More information

Chapter 2 Instructions Sets. Hsung-Pin Chang Department of Computer Science National ChungHsing University

Chapter 2 Instructions Sets. Hsung-Pin Chang Department of Computer Science National ChungHsing University Chapter 2 Instructions Sets Hsung-Pin Chang Department of Computer Science National ChungHsing University Outline Instruction Preliminaries ARM Processor SHARC Processor 2.1 Instructions Instructions sets

More information

Memory Bank and Register Allocation in Software Synthesis for ASIPs

Memory Bank and Register Allocation in Software Synthesis for ASIPs Memory Bank and Register Allocation in Software Synthesis for ASIPs Ashok Sudarsanam Sharad Malik Department of Electrical Engineering Princeton University Abstract An architectural feature commonly found

More information

EITF20: Computer Architecture Part2.2.1: Pipeline-1

EITF20: Computer Architecture Part2.2.1: Pipeline-1 EITF20: Computer Architecture Part2.2.1: Pipeline-1 Liang Liu liang.liu@eit.lth.se 1 Outline Reiteration Pipelining Harzards Structural hazards Data hazards Control hazards Implementation issues Multi-cycle

More information

C66x CorePac: Achieving High Performance

C66x CorePac: Achieving High Performance C66x CorePac: Achieving High Performance Agenda 1. CorePac Architecture 2. Single Instruction Multiple Data (SIMD) 3. Memory Access 4. Pipeline Concept CorePac Architecture 1. CorePac Architecture 2. Single

More information

Better sharc data such as vliw format, number of kind of functional units

Better sharc data such as vliw format, number of kind of functional units Better sharc data such as vliw format, number of kind of functional units Pictures of pipe would help Build up zero overhead loop example better FIR inner loop in coldfire Mine more material from bsdi.com

More information

Processors for Embedded Digital Signal Processing

Processors for Embedded Digital Signal Processing Insight, Analysis, and Advice on Signal Processing Technology Processors for Embedded Jeff Bier President BDTI Oakland, California USA +1 (510) 451-1800 info@bdti.com http://www.bdti.com 2008 Berkeley

More information

Chapter 4. The Processor

Chapter 4. The Processor Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified

More information

Arm Architecture. Enrique Secanechia Santos, Kevin Mesolella

Arm Architecture. Enrique Secanechia Santos, Kevin Mesolella Arm Architecture Enrique Secanechia Santos, Kevin Mesolella Outline History What is ARM? What uses ARM? Instruction Set Registers ARM specific instructions/implementations Stack Interrupts Pipeline ARM

More information

Complexity-effective Enhancements to a RISC CPU Architecture

Complexity-effective Enhancements to a RISC CPU Architecture Complexity-effective Enhancements to a RISC CPU Architecture Jeff Scott, John Arends, Bill Moyer Embedded Platform Systems, Motorola, Inc. 7700 West Parmer Lane, Building C, MD PL31, Austin, TX 78729 {Jeff.Scott,John.Arends,Bill.Moyer}@motorola.com

More information

,1752'8&7,21. Figure 1-0. Table 1-0. Listing 1-0.

,1752'8&7,21. Figure 1-0. Table 1-0. Listing 1-0. ,1752'8&7,21 Figure 1-0. Table 1-0. Listing 1-0. The ADSP-21065L SHARC is a high-performance, 32-bit digital signal processor for communications, digital audio, and industrial instrumentation applications.

More information

Vertex Shader Design I

Vertex Shader Design I The following content is extracted from the paper shown in next page. If any wrong citation or reference missing, please contact ldvan@cs.nctu.edu.tw. I will correct the error asap. This course used only

More information

SH4 RISC Microprocessor for Multimedia

SH4 RISC Microprocessor for Multimedia SH4 RISC Microprocessor for Multimedia Fumio Arakawa, Osamu Nishii, Kunio Uchiyama, Norio Nakagawa Hitachi, Ltd. 1 Outline 1. SH4 Overview 2. New Floating-point Architecture 3. Length-4 Vector Instructions

More information

William Stallings Computer Organization and Architecture

William Stallings Computer Organization and Architecture William Stallings Computer Organization and Architecture Chapter 11 CPU Structure and Function Rev. 3.2.1 (2005-06) by Enrico Nardelli 11-1 CPU Functions CPU must: Fetch instructions Decode instructions

More information

EE 4980 Modern Electronic Systems. Processor Advanced

EE 4980 Modern Electronic Systems. Processor Advanced EE 4980 Modern Electronic Systems Processor Advanced Architecture General Purpose Processor User Programmable Intended to run end user selected programs Application Independent PowerPoint, Chrome, Twitter,

More information

Instruction Set Overview

Instruction Set Overview MicroBlaze Instruction Set Overview ECE 3534 Part 1 1 The Facts MicroBlaze Soft-core Processor Highly Configurable 32-bit Architecture Master Component for Creating a MicroController Thirty-two 32-bit

More information

Evaluation of Static and Dynamic Scheduling for Media Processors. Overview

Evaluation of Static and Dynamic Scheduling for Media Processors. Overview Evaluation of Static and Dynamic Scheduling for Media Processors Jason Fritts Assistant Professor Department of Computer Science Co-Author: Wayne Wolf Overview Media Processing Present and Future Evaluation

More information

GENERAL-PURPOSE MICROPROCESSOR PERFORMANCE FOR DSP APPLICATIONS. University of Utah. Salt Lake City, UT USA

GENERAL-PURPOSE MICROPROCESSOR PERFORMANCE FOR DSP APPLICATIONS. University of Utah. Salt Lake City, UT USA GENERAL-PURPOSE MICROPROCESSOR PERFORMANCE FOR DSP APPLICATIONS J.N. Barkdull and S.C. Douglas Department of Electrical Engineering University of Utah Salt Lake City, UT 84112 USA ABACT Digital signal

More information

Computer Organization CS 206 T Lec# 2: Instruction Sets

Computer Organization CS 206 T Lec# 2: Instruction Sets Computer Organization CS 206 T Lec# 2: Instruction Sets Topics What is an instruction set Elements of instruction Instruction Format Instruction types Types of operations Types of operand Addressing mode

More information

Single-Cycle Examples, Multi-Cycle Introduction

Single-Cycle Examples, Multi-Cycle Introduction Single-Cycle Examples, ulti-cycle Introduction 1 Today s enu Single cycle examples Single cycle machines vs. multi-cycle machines Why multi-cycle? Comparative performance Physical and Logical Design of

More information

MIPS Pipelining. Computer Organization Architectures for Embedded Computing. Wednesday 8 October 14

MIPS Pipelining. Computer Organization Architectures for Embedded Computing. Wednesday 8 October 14 MIPS Pipelining Computer Organization Architectures for Embedded Computing Wednesday 8 October 14 Many slides adapted from: Computer Organization and Design, Patterson & Hennessy 4th Edition, 2011, MK

More information

COMPUTER ORGANIZATION AND DESIGN

COMPUTER ORGANIZATION AND DESIGN COMPUTER ORGANIZATION AND DESIGN 5 Edition th The Hardware/Software Interface Chapter 4 The Processor 4.1 Introduction Introduction CPU performance factors Instruction count CPI and Cycle time Determined

More information

University Syllabus DSP Algorithms and Architecture

University Syllabus DSP Algorithms and Architecture University Syllabus DSP Algorithms and Architecture Subject Code : IA Marks : 25 No. of Lecture Hrs/Week : 04 Exam Hours : 03 Total no. of Lecture Hrs. : 52 Exam Marks : 100 PART - A UNIT - 1 INTRODUCTION

More information

Media Instructions, Coprocessors, and Hardware Accelerators. Overview

Media Instructions, Coprocessors, and Hardware Accelerators. Overview Media Instructions, Coprocessors, and Hardware Accelerators Steven P. Smith SoC Design EE382V Fall 2009 EE382 System-on-Chip Design Coprocessors, etc. SPS-1 University of Texas at Austin Overview SoCs

More information

The Stored Program Computer

The Stored Program Computer The Stored Program Computer 1 1945: John von Neumann Wrote a report on the stored program concept, known as the First Draft of a Report on EDVAC also Alan Turing Konrad Zuse Eckert & Mauchly The basic

More information

Chapter 2 Lecture 1 Computer Systems Organization

Chapter 2 Lecture 1 Computer Systems Organization Chapter 2 Lecture 1 Computer Systems Organization This chapter provides an introduction to the components Processors: Primary Memory: Secondary Memory: Input/Output: Busses The Central Processing Unit

More information

DSP VLSI Design. Addressing. Byungin Moon. Yonsei University

DSP VLSI Design. Addressing. Byungin Moon. Yonsei University Byungin Moon Yonsei University Outline Definition of addressing modes Implied addressing Immediate addressing Memory-direct addressing Register-direct addressing Register-indirect addressing with pre-

More information

Elements of CPU performance

Elements of CPU performance Elements of CPU performance Cycle time. CPU pipeline. Superscalar design. Memory system. Texec = instructions ( )( program cycles instruction seconds )( ) cycle ARM7TDM CPU Core ARM Cortex A-9 Microarchitecture

More information

Transparent Data-Memory Organizations for Digital Signal Processors

Transparent Data-Memory Organizations for Digital Signal Processors Transparent Data-Memory Organizations for Digital Signal Processors Sadagopan Srinivasan, Vinodh Cuppu, and Bruce Jacob Dept. of Electrical & Computer Engineering University of Maryland at College Park

More information

TMS320C6000 Programmer s Guide

TMS320C6000 Programmer s Guide TMS320C6000 Programmer s Guide Literature Number: SPRU198E October 2000 Printed on Recycled Paper IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information