GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler

Size: px
Start display at page:

Download "GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler"

Transcription

1 GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler Ver. 1.0 November

2 CONTENT 1. DESCRIPTION OF THE COMPILER GENERAL CHARACTERISTICS OF IP COMPILER DESCRIPTION OF OPERATION CONDITIONS FEATURES OF SRAM DESCRIPTION OF INPUT/OUTPUT SIGNALS DESCRIPTION OF INPUT PARAMETERS DESCRIPTION OF OUTPUT FILES MEMORY ARCHITECTURE TIMING AND POWER SPECIFICATIONS DATA WRITE MODE SINGLE BIT WRITE MODE DATA READ MODE CHIP SELECT MODE SPECIFICATION OF TIMING AND POWER USING OF IP COMPILER DESCRIPTION AND SYSTEM REQUIREMENTS USAGE OF CONSOLE INTERFACE USING GRAPHICAL USER INTERFACE Ver. 1.0 page 2 of 16

3 1. DESCRIPTION OF THE COMPILER 1.1 GENERAL CHARACTERISTICS OF IP COMPILER The compiler sram_sp_smic018 generates high-density IP modules of single-port synchronous random access memory (SRAM), implemented in the 0.18 micron SMIC 1.8V technology. The compiler is able to work in a graphic and a console mode and has the following characteristics: The minimum size of compiled memory SRAM is equal to 8x2 bits, the maximum x64 bits (8 Mb). Possible word width: 2 to 64 bits with a step increment of 1 bit. The word depth is from 8 to 131,072 bits, depending on the width of the multiplexer. Flexibility of architecture is achieved by using multiplexing that allows to change the aspect ratio of IP modules in a wide range. It supports five levels of multiplexing: mux4, mux8, mux16, mux32, mux64. SRAM has four control signals: user-defined an non inverting or inverting clock CE/CEB, active at low level signals: an output enable (OEB), a chip select (CSB), a write enable (WEB). In addition, optional control signal select bit write (WENB [n]). Input and output data have separate data inputs (I [n]) and outputs (O [n]). Memory is synchronous, has possibility of synchronization by rise/fall edge of clock CE/CEB at a low level signal CSB. The output buffer is implemented in two versions: 3-states outputs and a stable zero (hard 0 ) output circuit, a buffer is activated by low level of signal OEB. Memory use 6-transistor cell with static control. Cell area is um2. Topology of the SRAM memory is made using four levels of metallization. 1.2 DESCRIPTION OF OPERATION CONDITIONS The table 1.1 presents information which describes the operating conditions of SRAM. Table 1.1: Operating conditions of SRAM Mode Voltage (V) Temperature (С) Process The best (BC) ff Nominal (NOM) tt The worst (WC) ss Ver. 1.0 page 3 of 16

4 1.3 FEATURES OF SRAM Sizes: words depth: ; words width: 2/4 64 (increment 1 bit). Control signals: rise/edge of clock CE/CEB; output enable (OEB), active low level; chip select (CSB), active low level; write enable (WEB), active low level; write enable bit (WENB[n]), active low level. Writing data: whole word (WEB) or by individual bits (WENB [n]). Data buses: two separate buses (I [n], O [n]) for the input and output data. Output buffer: a choice of power of output buffer for the different load (2х, 4х, 8х); a choice of buffer design - a circuit with three states, a circuit with a stable zero. Four levels of metallization. Optimizing of area and perimeter of memory modules by using multiplexing. Low power consumption in a static mode. Low power consumption in a dynamic mode. High-density of memory modules. 1.4 DESCRIPTION OF INPUT/OUTPUT SIGNALS The table 1.2 describes the input/output signals of the SRAM. Table 1.2: Description of SRAM signals Signal Description vdd! Power bus gnd! Ground bus I[n] n-th input O[n] n-th output A[n] n-th address line CSB Chip select, active low level CE/CEB Clock, active rising/falling edge OEB Output enable, active low level WEB Write enable, active low level WENB[n] n-th local write enable, low level The compiler can change the names of the signals with user s preferences. Ver. 1.0 page 4 of 16

5 1.5 DESCRIPTION OF INPUT PARAMETERS Information about the input parameters of IP compiler and their detailed description given in table 1.3. Table 1.3: Input parameters of compiler Parameter Range mux , increment = mux 2 mux , increment = mux 2 Number of Words mux , increment = mux 2 mux , increment = mux 2 mux , increment = mux 2 mux4 2 64, increment = 1 bit mux8 4 64, increment = 1 bit Number of Bits mux , increment = 1 bit mux , increment = 1 bit mux , increment = 1 bit Frequency from 1 to 1/t cyc, increment = 1 MHz Clock Polarity Rise/Fall Mux Width 4, 8, 16, 32, 64 Select Bit Write Output Drive True/False 2х/4х/8х for Tristate out 2х/4х for Hard Zero and mux4, mux8 2х/4х/8х for Hard Zero and mux16, mux32, mux64 Output Circuit Tristate/Hard Zero Number of Words Sets the number of words per single output of the SRAM. The range of possible values and its increment depends on the choice of multiplexing and can take values mux 2 words. Number of Bits Sets the number of bits in a word. The range of possible values ranging from 4 (2 for mux4) to 64 bits with an increment of 1 bit. Frequency This parameter sets the clock frequency to calculate the parameters of power consumption in the generation of specifications for the IP module of SRAM. The frequency can range from 1 to 1/t cyc MHz with increments of 1 MHz. (t cyc - the minimum of period of the clock for the compiled block). Clock Polarity Determines the active edge of the clock of SRAM. Synchronization can be done on the rising or falling edge of clock CE/CEB respectively. The parameter is able to possess the value rise/fall. Ver. 1.0 page 5 of 16

6 Mux Width Parameter sets the capacity of bit multiplexer to select the optimum ratio of size, power and time responses of the compiled modules. There are possible five versions of multiplexing: mux4, mux8, mux16, mux32, mux64. Select Bit Write This parameter allows to recording a specific number of bits in a word. If this parameter is true, then each bit is complemented by the write enable signal WENB [n]. In combination with the global WEB signal it enables writing of the particular bit. If the value is false, data is written to the entire length of the word. Output Drive Used to select the output drive buffer of the SRAM. There are three possible values: 2X, 4X, 8X. Output Circuit The choice of the output buffer circuit that is implemented in two versions: a scheme with three states at the output (Tristate) and the scheme with a stable zero (Hard Zero). 1.6 DESCRIPTION OF OUTPUT FILES The list of synthesized by IP compiler back-end and front-end views (files) for using at various stages of the design is shown in table 1.4. Table 1.4: Description of output files of IP compiler File description Electrical circuit (CDL netlist) Layout information (GDSII) RTL models (Verilog, VHDL) Models for Synopsys File for the automation placement and routing Specification of IP Module Script for creation symbol, abstract views in Cadence Format *.net *.gds *.v, *.vhdl *.lib *.lef *.html *.il Ver. 1.0 page 6 of 16

7 1.7 MEMORY ARCHITECTURE Block diagram of SRAM memory with random address access and architecture of 2D-M (2 Dimensional Modified), generated by the IP compiler, is shown in figure 1.1. It presupposes the usage of two coordinate buses to select the cell from the memory array and one output data bus. Figure 1.1 SRAM architecture Ver. 1.0 page 7 of 16

8 2. TIMING AND POWER SPECIFICATIONS 2.1 DATA WRITE MODE Figure 2.1 shows the timing diagrams explaining the mode of writing information in the SRAM. Figure 2.1 Data write cycle Ver. 1.0 page 8 of 16

9 2.2 SINGLE BIT WRITE MODE Figure 2.2 shows the timing diagram for writing information in SRAM with option of writing a separate n-th bit by the WENB signal. Figure 2.2 Single bit writing cycle Ver. 1.0 page 9 of 16

10 2.3 DATA READ MODE In a data reading mode outputs are activated at the end of the access time (t ACC ) after the active edge of CE/CEB (rise/fall) and latched until the next read cycle (figure 2.3). 2.4 CHIP SELECT MODE Figure 2.3 Data reading mode Memory has the ability to go into standby mode with low-power which is controlled by a signal CSB. Low level signal CSB is active (figure 2.4). Figure 2.4 Chip select mode Ver. 1.0 page 10 of 16

11 2.5 SPECIFICATION OF TIMING AND POWER Timing data of compiled IP-blocks of SRAM are presented in table 2.1, the parameters of energy consumption are presented in table 2.2. Table 2.1: Timing data of SRAM Parameter Designation Note Clock cycle time t CYC Min Access time t ACC Max a Minimum clock high time t HCEB Min Minimum clock low time t LCEB Min Address setup time t AS Min Address hold time t AH Min Write Enable setup time t WS Min Write Enable hold time t WH Max Data input setup time t IS Min Data input hold time t IH Min Chip select setup time t CS Min Chip select hold time t CH Max Select bit write setup time t WENBS Min Select bit write hold time t WENBH Max Output Disable time: OEB rising t LOE Min Output Enable time: OEB falling t HOE Max a а. Parameter depends on the output load and the duration of the front clock. Ver. 1.0 page 11 of 16

12 Table 2.2: Parameters of power SRAM Parameter Symbol Unit Note Consumption in the P WRITE uw/mhz Nominal parameters write mode Consumption in the P READ uw/mhz Nominal parameters read mode Consumption in the P STAND uw/mhz Nominal parameters standby mode Leakage current I LEAK uа Nominal parameters Peak current I PEAK mа In the read mode, without external load Modes of measurement of parameters (without external load, the rise time of clock is 0.1 ns): P WRITE (worst case) - power consumption in the write mode. One full clock cycle, all addresses are switched (one front); all inputs are switched (one front); WEB is switched (two front); the worst value while recording of the values of "1" and "0"; CSB = 0; WEB = 0; OEB = 0. P READ (worst case) - power consumption in read mode. One full clock cycle; all addresses are switched (one front); all inputs are unchanged; the worst value in reading the values of "1" and "0"; CSB = 0; WEB = 1; OEB = 0. P STAND power consumption in standby mode when the crystal is not selected. One full clock cycle; all addresses are switched (one front); all inputs are switched (one front); WEB switches (2 front); the average consumption during read/write for inactive values of "1" and "0"; CSB = 1; WEB = 1/0; OEB = 0. I LEAK leakage current. All signals are unchanged; CEB = 0; CSB = 1; WEB = 0; OEB = 0). I PEAK peak current in read mode without an external load. Ver. 1.0 page 12 of 16

13 3. USING OF IP COMPILER 3.1 DESCRIPTION AND SYSTEM REQUIREMENTS This version of the IP compiler steadily working on the following operating systems: - Linux SuSE 10.0/RedHat 3/Centos 4.7 and above. - Windows Xp/Vista The compiler is able to work in a graphic and a console mode. In a graphic mode it is possible to import/export parameters of compilation from/to a specification file. Console mode does not support additional options of graphical interface but they can be imported from a specification file. 3.2 USAGE OF CONSOLE INTERFACE The user has the ability to run SRAM compiler in the console mode from the command line. To do this, it is necessary to create an input file *.spec (format is shown below) in any text editor and specify the file path. There is also a possibility to set the input parameters as run parameters of the compiler. Enter the following commands to run compiler directly from the console: [user@hostname]$ sram_sp_smic18 [-file <file_path>] [run options] The following options for the command line are available: -version -help -file <string> -outdir <string> -block <string> -words [ ] -bits [2 64] -freq [1 1/t CYC ] -clock [rise/fall] -mux [4/8/16/32/64] -sbw [true/false] -drive [2x/4x/8x] -output [tristate/zero] -overpoise [left/right] A detailed description of the options is shown in table 2.3. Example: [user@hostname]$ sram_sp_smic18 -outdir /home/user/ram -block sram64x4 -words 64 -bits 4 mux 4 -outdir 2x This command will compile SRAM memory with the name sram64x4 and parameters: 64 words, 4 bits, 4-bit multiplexer, buffer 2x, synchronization by rising edge. If any parameter is not specified, it uses its default value (table 2.3). Ver. 1.0 page 13 of 16

14 Structure of input file *.spec interface sp outdir /home/user/work/ ip_name rars64x8m16sbw_x2_tri words 64 bits 8 frequency 1 clock rise mux 16 sbw true drive 2x output tristate corner_proc best corner_volt 1.95 corner_temp 0.00 corner_name ff_1.95_0 cust_comment left_delim [ right_delim ] pwr_rename vdd! gnd_rename gnd! adr_rename A in_rename I out_rename O wenb_rename WENB clock_rename CE oeb_rename OEB web_rename WEB csb_rename CSB name_case upper odd_bus left netlist yes gds yes verilog yes vhdl yes synopsys yes lef yes skill yes html yes log yes An example of a console run with the specification file: [user@hostname]$ sram_sp_smic18 -file /home/user/sram.spec This command will compile SRAM memory to the directory /home/user/work. Ver. 1.0 page 14 of 16

15 3.3 USING GRAPHICAL USER INTERFACE Developers offer a user-friendly graphical interface (GUI - Graphical User Interface), to work with sram_sp_smic18 compiler (figure 3.1). Figure 3.1 Graphical interface of compiler sram_sp_smic18 GUI is separated into three blocks: 1. Block of parameters of IP memory module (GENERATION PARAMETERS) - allows the user to easily and conveniently set the necessary parameters of SRAM for subsequent compilation. 2. The database with the parameters (DATABASE PARAMETERS) - displays the physical characteristics (height, width, area, aspect ratio of IP-block), the timing parameters and power consumption for the boundary conditions and user preferences. 3. Panel to display the current operations. Ver. 1.0 page 15 of 16

16 Table 3.1: Description of parameters of sram_sp_smic18 compiler Parameter in Parameter in Description Default value the console the graphics mode -version - Displays information about version of product and developer - -help - Displays information about the possible options and compiler s options - -outdir Run Directory Specifies the working directory which compiler is used - -block Instance Name Name of the memory block can contain any alphanumeric characters. It is recommended to use a unique name for memory block to avoid conflict rars256x8m8_x2 of the same name within a directory -words Number of Words Determines the number of words 256 -bits Number of Bits Determines the number of bits 8 -freq Frequency This parameter sets the clock frequency to calculate the parameters of energy consumption 1 МHz -clock Clock Polarity Determines the active edge of the input clock rise -mux Mux Width Sets capacity of bit multiplexer to select the optimum ratio of the size, power and timing characteristics 8 -sbw Select Bit Write Parameter allows to write a single bit in a word false -drive Output Drive Used to select the intended load capacity of output buffers 2x -output Output Circuit hard0 -overpoise Odd Bus Location left -file - Generates the SRAM with the parameters from - pre-defined file *.spec - Views Calling the file generation menu - - Corners Calling the operating conditions menu (process, - voltage, temperature) to generate a custom library - Advanced Calling the advanced compile options menu - - Import Imports values of the input parameters from the - specification file *.spec - Export Exports values of input parameters to the - specification file *.spec - Default Sets the values of input parameters by default - - Generate Begins to generate output files - - Close Exit from program - Ver. 1.0 page 16 of 16

704-bit EEPROM SPECIFICATION 1 FEATURES 2 APPLICATION 3 OVERVIEW

704-bit EEPROM SPECIFICATION 1 FEATURES 2 APPLICATION 3 OVERVIEW SPECIFICATION 1 FEATURES SMIC EEPROM CMOS 0.18 um High density of memory cells Writing and erasing data by one high-voltage pulse Programming and erase time 2 ms Page writes allowed Data retention over

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Tutorial for Cadence SOC Encounter Place & Route

Tutorial for Cadence SOC Encounter Place & Route Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting

More information

Tutorial 2 Automatic Placement & Routing

Tutorial 2 Automatic Placement & Routing Tutorial 2 Automatic Placement & Routing Please follow the instructions found under Setup on the CADTA main page before starting this tutorial. 1.1. Start Encounter Log on to a VLSI server using your EE

More information

Address connections Data connections Selection connections

Address connections Data connections Selection connections Interface (cont..) We have four common types of memory: Read only memory ( ROM ) Flash memory ( EEPROM ) Static Random access memory ( SARAM ) Dynamic Random access memory ( DRAM ). Pin connections common

More information

1 Megabit Serial Flash EEPROM SST45LF010

1 Megabit Serial Flash EEPROM SST45LF010 EEPROM FEATURES: Single.0-.V Read and Write Operations Serial Interface Architecture SPI Compatible: Mode 0 and Mode Byte Serial Read with Single Command Superior Reliability Endurance: 00,000 Cycles (typical)

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Background. memcellsf09. Single- and Double-port SRAM building blocks. w Allen Tanner built an SRAM/ROM generator program back in 2004

Background. memcellsf09. Single- and Double-port SRAM building blocks. w Allen Tanner built an SRAM/ROM generator program back in 2004 memcellsf09 Single- and Double-port SRAM building blocks Background w Allen Tanner built an SRAM/ROM generator program back in 2004 n the ROM seems to work fine there are fabricated examples that work

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

1-Megabit (128K x 8) 5-volt Only Flash Memory AT29C010A. Features. Description. Pin Configurations

1-Megabit (128K x 8) 5-volt Only Flash Memory AT29C010A. Features. Description. Pin Configurations Features Fast Read Access Time - 70 ns 5-Volt Only Reprogramming Sector Program Operation Single Cycle Reprogram (Erase and Program) 1024 Sectors (128 bytes/sector) Internal Address and Data Latches for

More information

S-2812A/2817A. Rev.1.1. CMOS 16K-bit PARALLEL E 2 PROM

S-2812A/2817A. Rev.1.1. CMOS 16K-bit PARALLEL E 2 PROM Rev.1.1 CMOS 16K-bit PARALLEL E 2 PROM The S-2812A and the S-2817A are low power 2K 8-bit parallel E 2 PROMs. The S-2812A features wide operating voltage range, and the S-2817A features 5-V single power

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

1-megabit (64K x 16) 5-volt Only Flash Memory AT49F1024A Features Description Pin Configurations

1-megabit (64K x 16) 5-volt Only Flash Memory AT49F1024A Features Description Pin Configurations BDTIC www.bdtic.com/atmel Features Single-voltage Operation 5V Read 5V Reprogramming Fast Read Access Time 45 ns Internal Program Control and Timer 8K Word Boot Block with Lockout Fast Erase Cycle Time

More information

256MB / 512MB / 1GB / 2GB

256MB / 512MB / 1GB / 2GB Description: TEKQ TSD133XXX is a new 133X Super Fast Performance mass-storage system based on innovations in semiconductor technology which designed in advanced SD specification Ver.1.1. It s a special

More information

Tutorial for Encounter

Tutorial for Encounter Tutorial for Encounter STEP 1: Login to the Linux system on Linuxlab server. Start a terminal (the shell prompt). (If you don t know how to login to Linuxlab server, look at here) Click here to open a

More information

SQFlash Industrial SD Card SQFlash Technical Manual

SQFlash Industrial SD Card SQFlash Technical Manual SQFlash Technical Manual REV 0.2 Page 1 of 15 Jul. 9, 2010 CONTENTS 1. Overview... 4 2. Standard Features... 5 3. Additional Features... 6 4. Pin Assignment and Block Diagram... 7 5. Power Consumption...

More information

HSP Histogrammer/Accumulating Buffer. Features. Applications. Ordering Information. Block Diagram FN Data Sheet July 2004

HSP Histogrammer/Accumulating Buffer. Features. Applications. Ordering Information. Block Diagram FN Data Sheet July 2004 HSP48410 Data Sheet July 2004 FN3185.3 Histogrammer/Accumulating Buffer The Intersil HSP48410 is an 84 lead Histogrammer IC intended for use in image and signal analysis. The on-board memory is configured

More information

Parallel NOR and PSRAM 56-Ball MCP Combination Memory

Parallel NOR and PSRAM 56-Ball MCP Combination Memory Parallel NOR and PSRAM 56-Ball MCP Combination Memory MT38L3031AA03JVZZI.X7A 56-Ball MCP: 128Mb Parallel NOR and 64Mb PSRAM Features Features Micron Parallel NOR Flash and PSRAM components RoHS-compliant,

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Artisan 0.18um Library

Artisan 0.18um Library Artisan 0.18um Library 478 high-density standard cells Average cell density of 111K gates/sq.mm Multiple drive strengths Routable in 3, 4, 5 or more metal layers Comprehensive design tool support Process

More information

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

128K x 36 Synchronous-Pipelined Cache RAM

128K x 36 Synchronous-Pipelined Cache RAM 1CY7C1347 Features Supports 100-MHz bus for Pentium and PowerPC operations with zero wait states Fully registered inputs and outputs for pipelined operation 128K by 36 common I/O architecture 3.3V core

More information

FM16W08 64Kb Wide Voltage Bytewide F-RAM

FM16W08 64Kb Wide Voltage Bytewide F-RAM Pre-Production FM16W08 64Kb Wide Voltage Bytewide F-RAM Features 64Kbit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 100 Trillion (10 14 ) Read/Writes 38 year Data Retention

More information

Unity Digital Industrial Solution SLC SD 3.0 Specification

Unity Digital Industrial Solution SLC SD 3.0 Specification Unity Digital Industrial Solution SLC SD 3.0 Specification Contents A. General Description...1 B. Features...2 C. Comparison of SD Card...3 D. Block Diagram...4 E. Power Consumption...6 F. Environmental

More information

Place & Route: Using Silicon Ensemble

Place & Route: Using Silicon Ensemble Place & Route: Using Silicon Ensemble Introduction In a typical digital design flow, hardware description language is used to model a design and verify desired behavior. Once the desired functionality

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook TPZ013GV3 TSMC 0.13um Standard I/O Library Databook Version 220C May 11, 2007 Copyright 2007 Taiwan Semiconductor Manufacturing Company Ltd. All Rights Reserved No part of this publication may be reproduced

More information

4-Megabit (512K x 8) 5-volt Only CMOS Flash Memory AT49F040 AT49F040T AT49F040/040T AT49F040/040T. Features. Description. Pin Configurations

4-Megabit (512K x 8) 5-volt Only CMOS Flash Memory AT49F040 AT49F040T AT49F040/040T AT49F040/040T. Features. Description. Pin Configurations Features Single Voltage Operation 5V Read 5V Reprogramming Fast Read Access Time - 70 ns Internal Program Control and Timer 16K bytes Boot Block With Lockout Fast Erase Cycle Time - 10 seconds Byte By

More information

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM 131,072-word 8-bit High speed CMOS Static RAM ADE-203-363A(Z) Rev. 1.0 Apr. 28, 1995 The Hitachi HM628128BI is a CMOS static RAM organized 131,072-word 8-bit. It realizes higher density, higher performance

More information

Note: Closed book no notes or other material allowed, no calculators or other electronic devices.

Note: Closed book no notes or other material allowed, no calculators or other electronic devices. ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Exam Review Note: Closed book no notes or other material allowed, no calculators or other electronic devices. One page

More information

ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path

ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor

More information

ST Sitronix ST7565R. 65 x 132 Dot Matrix LCD Controller/Driver. Ver 1.7 1/ /06/01

ST Sitronix ST7565R. 65 x 132 Dot Matrix LCD Controller/Driver. Ver 1.7 1/ /06/01 ST Sitronix ST7565R 65 x 32 Dot Matrix LCD Controller/Driver Features Directly display RAM data through Display Data RAM. RAM capacity : 65 x 32 = 8580 bits Display duty selectable by select pin /65 duty

More information

OTP MATRIX 3-D MEMORY - 32PIN TSOP 16MB, 32MB, 64MB DATA SHEET DOCUMENT NUMBER: DS004 REVISION: 1.11 REVISION DATE:

OTP MATRIX 3-D MEMORY - 32PIN TSOP 16MB, 32MB, 64MB DATA SHEET DOCUMENT NUMBER: DS004 REVISION: 1.11 REVISION DATE: 16MB, 32MB, 64MB DATA SHEET REVISION: 111 REVISION DATE: 06-24-05 REV 111 06/24/05 NOTICES: Copyright 2002, 2004, 2005 Matrix Semiconductor, Inc All rights reserved If you have received this document from

More information

SST 29EE V-only 1 Megabit Page Mode EEPROM

SST 29EE V-only 1 Megabit Page Mode EEPROM Data Sheet SST 29EE010 July 1996 5.1 Features: Single 5.0-Volt Read and Write Operations CMOS SuperFlash EEPROM Technology Endurance: 100,000 Cycles (typical) Greater than 100 years Data Retention Low

More information

DOT MATRIX LCD DRIVER

DOT MATRIX LCD DRIVER DOT MATRIX LCD DRIVER IZ6450A, IZ6451A DESCRIPTION IZ6450/IZ6451 are dot matrix LCD (Liquid Crystal Display) drivers, designed for the display of characters and graphics. The drivers generate LCD drive

More information

2K x 16 Dual-Port Static RAM

2K x 16 Dual-Port Static RAM 2K x 16 Dual-Port Static RAM Features True dual-ported memory cells which allow simultaneous reads of the same memory location 2K x 16 organization 0.65-micron CMOS for optimum speed/power High-speed access:

More information

DS1225Y 64k Nonvolatile SRAM

DS1225Y 64k Nonvolatile SRAM 19-5603; Rev 10/10 NOT RECOMMENDED FOR NEW DESIGNS 64k Nonvolatile SRAM www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during

More information

Parallel NOR and PSRAM 88-Ball MCP Combination Memory

Parallel NOR and PSRAM 88-Ball MCP Combination Memory Features Parallel NOR and PSRAM 88-Ball MCP Combination Memory MT38W2021A902ZQXZI.X69, MT38W2021A502ZQXZI.X69 Features Micron Parallel NOR Flash and PSRAM components RoHS-compliant, green package Space-saving

More information

256K x 18 Synchronous 3.3V Cache RAM

256K x 18 Synchronous 3.3V Cache RAM Features Supports 117-MHz microprocessor cache systems with zero wait states 256K by 18 common I/O Fast clock-to-output times 7.5 ns (117-MHz version) Two-bit wrap-around counter supporting either interleaved

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

S-2900A. Rev.1.1. CMOS 512-bit SERIAL E 2 PROM

S-2900A. Rev.1.1. CMOS 512-bit SERIAL E 2 PROM Rev.1.1 CMOS 512-bit SERIAL E 2 PROM S-29A The S-29A is a wide operating voltage range, low power consumption 512-bit E 2 PROM. The organization is 64-word 8-bit, and can be read or written serially. It

More information

Microcomputers. Outline. Number Systems and Digital Logic Review

Microcomputers. Outline. Number Systems and Digital Logic Review Microcomputers Number Systems and Digital Logic Review Lecture 1-1 Outline Number systems and formats Common number systems Base Conversion Integer representation Signed integer representation Binary coded

More information

CHAPTER TWELVE - Memory Devices

CHAPTER TWELVE - Memory Devices CHAPTER TWELVE - Memory Devices 12.1 6x1,024 = 16,384 words; 32 bits/word; 16,384x32 = 524,288 cells 12.2 16,384 addresses; one per word. 12.3 2 16 = 65,536 words = 64K. Thus, memory capacity is 64Kx4.

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

8 Mbit SPI Serial Flash SST25VF080

8 Mbit SPI Serial Flash SST25VF080 FEATURES: 8 Mbit SPI Serial Flash 8 Mb Serial Peripheral Interface (SPI) flash memory Single Voltage Read and Write Operations 2.7-3.6V for Serial Interface Architecture SPI Compatible: Mode 0 and Mode

More information

DS1243Y 64K NV SRAM with Phantom Clock

DS1243Y 64K NV SRAM with Phantom Clock 19-6076; Rev 11/11 DS1243Y 64K NV SRAM with Phantom Clock FEATURES Real-Time Clock Keeps Track of Hundredths of Seconds, Seconds, Minutes, Hours, Days, Date of the Month, Months, and Years 8K x 8 NV SRAM

More information

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs AT28LV010

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs AT28LV010 BDTIC www.bdtic.com/atmel Features Single 3.3V ± 10% Supply Fast Read Access Time 200 ns Automatic Page Write Operation Internal Address and Data Latches for 128 Bytes Internal Control Timer Fast Write

More information

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM R DS126 (v1.0) December 18, 2003 0 8 Product Specification 0 QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM Features Latch-Up Immune to LET >120 MeV/cm 2 /mg Guaranteed TID of 50 krad(si)

More information

Pm39LV512 / Pm39LV010 / Pm39LV020 / Pm39LV040

Pm39LV512 / Pm39LV010 / Pm39LV020 / Pm39LV040 512 Kbit / 1Mbit / 2Mbit / 4Mbit 3.0 Volt-only CMOS Flash Memory FEATURES Single Power Supply Operation - Low voltage range: 2.7 V - 3.6 V Memory Organization - Pm39LV512: 64K x 8 (512 Kbit) - Pm39LV010:

More information

Cadence On-Line Document

Cadence On-Line Document Cadence On-Line Document 1 Purpose: Use Cadence On-Line Document to look up command/syntax in SoC Encounter. 2 Cadence On-Line Document An on-line searching system which can be used to inquire about LEF/DEF

More information

Pm39F010 / Pm39F020 / Pm39F040

Pm39F010 / Pm39F020 / Pm39F040 1 Mbit / 2 Mbit / 4 Mbit 5 Volt-only CMOS Flash Memory FEATURES Single Power Supply Operation - Low voltage range: 4.5 V - 5.5 V Memory Organization - Pm39F010: 128K x 8 (1 Mbit) - Pm39F020: 256K x 8 (2

More information

FM1608B 64Kb Bytewide 5V F-RAM Memory

FM1608B 64Kb Bytewide 5V F-RAM Memory Pre-Production FM1608B 64Kb Bytewide 5V F-RAM Memory Features 64Kbit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 1 Trillion (10 12 ) Read/Writes 38 year Data Retention (@ +75

More information

Description INPUT INTERFACING

Description INPUT INTERFACING SEMICONDUCTOR ICM711, ICM71 December 1993 Features ICM711 (LCD) Description -Digit ICM711 (LCD) and ICM71 (LED) Display Drivers Four Digit Non-Multiplexed 7 Segment LCD Display Outputs With Backplane Driver

More information

Pushing SRAM Blocks through CS250 s Toolflow

Pushing SRAM Blocks through CS250 s Toolflow Pushing SRAM Blocks through CS250 s Toolflow CS250 Tutorial 8 (Version 093009a) September 30, 2009 Yunsup Lee In this tutorial you will gain experience pushing SRAM blocks through the toolflow. You will

More information

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元 Cell-Based IC Physical Design & Verification SOC Encounter Advisor : 李昆忠 Presenter : 蕭智元 Reference: SOC Encounter Training Manual, 2007, edited by CIC. Introduction We ll use some EDA tools to transform

More information

falling edge Intro Computer Organization

falling edge Intro Computer Organization Clocks 1 A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states. The length of time the clock is high before changing states is its

More information

ST Sitronix ST7565P. 65 x 132 Dot Matrix LCD Controller/Driver

ST Sitronix ST7565P. 65 x 132 Dot Matrix LCD Controller/Driver ST Sitronix ST7565P 65 x 132 Dot Matrix LCD Controller/Driver FEATURES Direct display of RAM data through the display data RAM. RAM capacity : 65 x 132 = 8580 bits Display duty selectable by select pin

More information

AT25PE40. 4-Mbit DataFlash-L Page Erase Serial Flash Memory ADVANCE DATASHEET. Features

AT25PE40. 4-Mbit DataFlash-L Page Erase Serial Flash Memory ADVANCE DATASHEET. Features 4-Mbit DataFlash-L Page Erase Serial Flash Memory Features ADVANCE DATASHEET Single 1.65V - 3.6V supply Serial Peripheral Interface (SPI) compatible Supports SPI modes 0 and 3 Supports RapidS operation

More information

AL460 Full HD FIFO Memory Datasheet - Brief

AL460 Full HD FIFO Memory Datasheet - Brief AL460 Full HD FIFO Memory Datasheet - Brief Version 1.2 2007~2010 by AverLogic Technologies, Corp. INFORMATION FURNISHED BY AVERLOGIC IS BELIEVED TO BE ACCURATE AND RELIABLE. HOWEVER, NO RESPONSIBILITY

More information

1GB microsd Memory Card. Description. Features. Placement. Pin Definition. Transcend Information Inc. 1

1GB microsd Memory Card. Description. Features. Placement. Pin Definition. Transcend Information Inc. 1 Description is a. It is non-volatile, which means no external power is required to retain the information stored on it. Besides, it is also a solid-state device that without moving parts to skip or break

More information

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm HOMEWORK 10 CMPEN 411 Due: 4/28/2016 11:30pm Instruction First, fabrication ready the full 8 bit RISC microprocessor chip: redesign the chip (its components) to fit the entire chip fitted into the 40 pin

More information

Stimuli Generator User s Guide

Stimuli Generator User s Guide Stimuli Generator User s Guide TRACE32 Online Help TRACE32 Directory TRACE32 Index TRACE32 Documents... Stimuli Generator... Stimuli Generator User's Guide... 1 Stimuli-Generator... 2 Basics 2 Digital

More information

FEATURES. Single Power Supply Operation - Low voltage range: 2.70 V V

FEATURES. Single Power Supply Operation - Low voltage range: 2.70 V V FEATURES Single Power Supply Operation - Low voltage range: 2.70 V - 3.60 V - IS39LV040: 512K x 8 (4 Mbit) - IS39LV010: 128K x 8 (1 Mbit) - IS39LV512: 64K x 8 (512 Kbit) - 70 ns access time - Uniform 4

More information

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2) Creating Verilog Tutorial 2-1 - Creating a verilog netlist for a schematic: The verilog netlist is necessary for automatic layout (placement and routing) tools. It contains information about the I/O pins

More information

QPro XQ17V16 Military 16Mbit QML Configuration PROM

QPro XQ17V16 Military 16Mbit QML Configuration PROM R 0 QPro XQ17V16 Military 16Mbit QML Configuration PROM DS111 (v1.0) December 15, 2003 0 8 Product Specification Features 16Mbit storage capacity Guaranteed operation over full military temperature range:

More information

CMPEN411 Memory Chip Design Project Report

CMPEN411 Memory Chip Design Project Report THE PENNSYLVANIA STATE UNIVERSITY CMPEN411 Memory Chip Design Project Report RAM64X6,SERIAL RAM 64X4 and DPRAM64X4 Qianqian Zhang 3/9/2012 A chip fabricated through MOSIS 1 Table of Content Chapter 1 Introductory...

More information

8-megabit 2.5-volt or 2.7-volt DataFlash AT45DB081D

8-megabit 2.5-volt or 2.7-volt DataFlash AT45DB081D Features Single 2.5V or 2.7V to 3.6V Supply RapidS Serial Interface: 66 MHz Maximum Clock Frequency SPI Compatible Modes 0 and 3 User Configurable Page Size 256 Bytes per Page 264 Bytes per Page Page Size

More information

ECE 459/559 Secure & Trustworthy Computer Hardware Design

ECE 459/559 Secure & Trustworthy Computer Hardware Design ECE 459/559 Secure & Trustworthy Computer Hardware Design VLSI Design Basics Garrett S. Rose Spring 2016 Recap Brief overview of VHDL Behavioral VHDL Structural VHDL Simple examples with VHDL Some VHDL

More information

DS1306. Serial Alarm Real Time Clock (RTC)

DS1306. Serial Alarm Real Time Clock (RTC) www.dalsemi.com FEATURES Real time clock counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap year compensation valid up to 2100 96-byte nonvolatile RAM for data

More information

DS1215. Phantom Time Chip FEATURES PIN ASSIGNMENT PIN DESCRIPTION

DS1215. Phantom Time Chip FEATURES PIN ASSIGNMENT PIN DESCRIPTION DS1215 Phantom Time Chip FEATURES Keeps track of hundredths of seconds, seconds, minutes, hours, days, date of the month, months, and years Adjusts for months with fewer than 31 days Leap year automatically

More information

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial. Digital Logic Synthesis and Equivalence Checking Tools Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada CAD Tool Tutorial May, 2010

More information

UMSDXXXGBP Micro-SD 3.0 Memory Card Specification

UMSDXXXGBP Micro-SD 3.0 Memory Card Specification Micro-SD 3.0 Memory Card Specification Version 1.1 Revision History Revision History Draft Date Remark 1.0 First Release 2011-03-21 Andre 1.1 Mechanical Drawing updated 2011-07-01 Eric A. General Description

More information

16-megabit 2.5-volt or 2.7-volt DataFlash AT45DB161D

16-megabit 2.5-volt or 2.7-volt DataFlash AT45DB161D Features Single 2.5V - 3.6V or 2.7V - 3.6V Supply RapidS Serial Interface: 66 MHz Maximum Clock Frequency SPI Compatible Modes 0 and 3 User Configurable Page Size 512 Bytes per Page 528 Bytes per Page

More information

DS1220AB/AD 16k Nonvolatile SRAM

DS1220AB/AD 16k Nonvolatile SRAM DS122AB/AD 16k Nonvolatile SRAM www.dalsemi.com FEATURES years minimum data retention in the absence of external power Data is automatically protected during power loss Directly replaces 2k x 8 volatile

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble Arifur Rahman, Spring 2004, Polytechnic University, NY Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble Mapped Netlist Back Annotation using SDF File and mapped netlist

More information

TSOP Top View Type 1 NC NC RDY/BUSY RESET NC NC NC VCC GND NC NC NC NC CS SCK/CLK SI* SO* NC NC

TSOP Top View Type 1 NC NC RDY/BUSY RESET NC NC NC VCC GND NC NC NC NC CS SCK/CLK SI* SO* NC NC Features Single 2.7V - 3.6V Supply Dual-interface Architecture Dedicated Serial Interface (SPI Modes 0 and 3 Compatible) Dedicated Parallel I/O Interface (Optional Use) Page Program Operation Single Cycle

More information

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2015 Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim I. Setup for Cadence Innovus 1. Copy the following files into your working

More information

PICo Embedded High Speed Cache Design Project

PICo Embedded High Speed Cache Design Project PICo Embedded High Speed Cache Design Project TEAM LosTohmalesCalientes Chuhong Duan ECE 4332 Fall 2012 University of Virginia cd8dz@virginia.edu Andrew Tyler ECE 4332 Fall 2012 University of Virginia

More information

ST Sitronix ST7565R. 65 x 132 Dot Matrix LCD Controller/Driver. Ver 1.3 1/ /11/25

ST Sitronix ST7565R. 65 x 132 Dot Matrix LCD Controller/Driver. Ver 1.3 1/ /11/25 ST Sitronix ST7565R 65 x 32 Dot Matrix LCD Controller/Driver Features Direct display of RAM data through the display data RAM. RAM capacity : 65 x 32 = 8580 bits Display duty selectable by select pin /65

More information

79C Megabit (512k x 8-bit) EEPROM MCM FEATURES DESCRIPTION: 79C0408. Logic Diagram

79C Megabit (512k x 8-bit) EEPROM MCM FEATURES DESCRIPTION: 79C0408. Logic Diagram 79C48 4 Megabit (512k x 8-bit) EEPROM MCM CE 1 CE 2 CE 3 CE 4 RES R/B WE OE 79C48 A -16 128K x 8 128K x 8 128K x 8 128K x 8 I/O -7 Logic Diagram FEATURES Four 128k x 8-bit EEPROMs MCM RAD-PAK radiation-hardened

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

DS1258Y/AB 128k x 16 Nonvolatile SRAM

DS1258Y/AB 128k x 16 Nonvolatile SRAM www.maxim-ic.com FEATURES 10-Year Minimum Data Retention in the Absence of External Power Data is Automatically Protected During a Power Loss Separate Upper Byte and Lower Byte Chip- Select Inputs Unlimited

More information

RESET CLK RDn WRn CS0 CS1 CS2n DIN[7:0] CTSn DSRn DCDn RXDATA Rin A[2:0] DO[7:0] TxDATA DDIS RTSn DTRn OUT1n OUT2n BAUDOUTn TXRDYn RXRDYn INTRPT

RESET CLK RDn WRn CS0 CS1 CS2n DIN[7:0] CTSn DSRn DCDn RXDATA Rin A[2:0] DO[7:0] TxDATA DDIS RTSn DTRn OUT1n OUT2n BAUDOUTn TXRDYn RXRDYn INTRPT MOXSYN C16550S Universal Asynchronous Receiver/Transmitter with FIFOs Function Description The C16550S programmable asynchronous communications interface (UART) core provides data formatting and control

More information

TM54S416T SDRAM. Frequency vs. AC Parameter Unit t CK

TM54S416T SDRAM. Frequency vs. AC Parameter Unit t CK Description The TM54S416T is organized as 4-bank x 1048576-word x 16-bit(4Mx16), fabricated with high performance CMOS technology. Synchronous design allows precise cycle control with the use of system

More information

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview CPE/EE 421/521 Fall 2004 Chapter 4 The 68000 CPU Hardware Model Dr. Rhonda Kay Gaede UAH Fall 2004 1 The 68000 CPU Hardware Model - Overview 68000 interface Timing diagram Minimal configuration using the

More information

S25FL Family (Serial Peripheral Interface)

S25FL Family (Serial Peripheral Interface) S25FL Family (Serial Peripheral Interface) S25FL002D, S25FL001D 2 Megabit, 1 Megabit CMOS 3.0 Volt Flash Memory with 25 MHz SPI Bus Interface Distinctive Characteristics PRELIMINARY INFORMATION ARCHITECTURAL

More information

Introduction to CMOS VLSI Design (E158) Lab 4: Controller Design

Introduction to CMOS VLSI Design (E158) Lab 4: Controller Design Harris Introduction to CMOS VLSI Design (E158) Lab 4: Controller Design The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction.

More information

2 Mbit / 4 Mbit SPI Serial Flash SST25VF020 / SST25VF040

2 Mbit / 4 Mbit SPI Serial Flash SST25VF020 / SST25VF040 FEATURES: 2 Mbit / 4 Mbit SPI Serial Flash SST25VF020 / 0402Mb / 4Mb Serial Peripheral Interface (SPI) flash memory Single 2.7-3.6V Read and Write Operations Serial Interface Architecture SPI Compatible:

More information

BUS TIMING ANALYSIS. George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners

BUS TIMING ANALYSIS. George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners BUS TIMING ANALYSIS George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners LEARNING OBJECTIVES identify CPU and memory timing parameters draw a bus timing diagram

More information

HD66520T. (160-Channel 4-Level Grayscale Display Column Driver with Internal Bit-Map RAM)

HD66520T. (160-Channel 4-Level Grayscale Display Column Driver with Internal Bit-Map RAM) HD6652T (6-Channel 4-Level Grayscale Display Column Driver with Internal Bit-Map RAM) Description The HD6652 is a column driver for liquid crystal dot-matrix graphic display systems. This LSI incorporates

More information

HT CMOS 2K 8-Bit SRAM

HT CMOS 2K 8-Bit SRAM CMOS 2K 8-Bit SRAM Features Single 5V power supply Low power consumption Operating: 400mW (Typ.) Standby: 5µW (Typ.) 70ns (Max.) high speed access time Power down by pin CS TTL compatible interface levels

More information

Temperature Sensor for I 2 C BUS Monolithic IC MM3286 Series

Temperature Sensor for I 2 C BUS Monolithic IC MM3286 Series Temperature Sensor for I 2 C BUS Monolithic IC MM3286 Series Outline This IC is used as a digital temperature sensor that supports I 2 C BUS and has the built-in temperature sensor and - type A/D converter.

More information